Browse > Article
http://dx.doi.org/10.9725/kts.2018.34.3.115

Tribology Research Trends in Chemical Mechanical Polishing (CMP) Process  

Lee, Hyunseop (School of Mechanical Engineering, Tongmyong University)
Publication Information
Tribology and Lubricants / v.34, no.3, 2018 , pp. 115-122 More about this Journal
Abstract
Chemical mechanical polishing (CMP) is a hybrid processing method in which the surface of a wafer is planarized by chemical and mechanical material removal. Since mechanical material removal in CMP is caused by the rolling or sliding of abrasive particles, interfacial friction during processing greatly influences the CMP results. In this paper, the trend of tribology research on CMP process is discussed. First, various friction force monitoring methods are introduced, and three elements in the CMP tribo-system are defined based on the material removal mechanism of the CMP process. Tribological studies on the CMP process include studies of interfacial friction due to changes in consumables such as slurry and polishing pad, modeling of material removal rate using contact mechanics, and stick-slip friction and scratches. The real area of contact (RCA) between the polishing pad and wafer also has a significant influence on the polishing result in the CMP process, and many researchers have studied RCA control and prediction. Despite the fact that the CMP process is a hybrid process using chemical reactions and mechanical material removal, tribological studies to date have yet to clarify the effects of chemical reactions on interfacial friction. In addition, it is necessary to clarify the relationship between the interface friction phenomenon and physical surface defects in CMP, and the cause of their occurrence.
Keywords
chemical mechanical polishing; tribology; friction force; wear; stick-slip; real area of contact;
Citations & Related Records
Times Cited By KSCI : 6  (Citation Analysis)
연도 인용수 순위
1 Ko, B. G., Yoo, H. C., Park, J. G., "Effects of pattern density on CMP removal rate and uniformity", J. Kor, Phys. Soc., Vol. 39, pp. S318-S321, 2001.
2 Lee, H., Park, Y., Lee, S., Jeong, H., "Effect of wafer size on material removal rate and its distribution in chemical mechanical polishing of silicon dioxide film", J. Mech. Sci. Technol., Vol. 27, No. 10, pp. 2911-2916, 2013.   DOI
3 Nanz, G., Camilletti, L. E., "Modeling of chemical-mechanical polishing: A review", IEEE Trans. Semicon. Manufact., Vol. 8, No. 4, pp. 382-389, 1995.   DOI
4 Lee, H. S., Jeong, H. D., "Chemical and mechanical balance in polishing of electronic materials for defect-free surfaces," CIRP Ann. Manufact. Technol., Vol. 58, pp. 485-490, 2009.   DOI
5 Lee, D., Lee, H., Jeong, H., "Slurry components in metal Chemical Mechanical Planarization (CMP) Process: A review," Int. J. Precis. Eng. Manufact., Vol. 17, No. 12, pp. 1751-1762, 2016.   DOI
6 Lee, H., Lee, D., Jeong, H., "Mechanical aspects of the chemical mechanical polishing process: A review", Int. J. Precis. Eng. Manufact., Vol. 17, No. 4, pp. 525-536, 2016.   DOI
7 Hayashi, S., Koga, T., Goorsky, M. S., "Chemical mechanical polishing of GaN", J. Electrochem. Soc., Vol. 155, No. 2, pp. H113-H116, 2008.   DOI
8 Lu, H., Obeng, Y., Richardson, K. A., "Applicability of dynamic mechanical analysis for CMP polyurethane pad studies", Mater. Charact., Vol. 49, Issue 2, pp. 177-186, 2002.   DOI
9 Park, B., Lee, H., Kim, H., Seo, H., Kim, G., Jeong, H., "Characteristics of friction affecting CMP results", J. Korean Inst. Electr. Electron. Mater. Eng., Vol. 17, No. 10, pp. 1041-1048, 2004.   DOI
10 Bahr, M., Sampurno, Y., Han, R., Phillipossian, A., "Improvements in stribeck curves for copper and tungsten chemical mechanical planarization on soft pads", ECS J. Solid State Sci. Technol., Vol. 6, No. 5, pp. P290-P295, 2017.   DOI
11 Lee, H. S., Park, B. Y., Park, S. M., Kim, H. J., Jeong, H. D., "The characteristics of frictional behavior in CMP Using an integrated monitoring system", Key Eng. Mater., Vol. 339, pp. 152-157, 2007.   DOI
12 Scarfo, A. M., Manno, V. P., Rogers, C. B., Anjur, S. P., Moinpour, M., "In situ measurement of pressure and friction during CMP of Contoured Wafers", J. Electrochem. Soc., Vol. 152, No. 6, pp. G477-G481, 2005.   DOI
13 Hocheng, H., Huang, Y. L., "A comprehensive review of end point detection in chemical mechanical polishing for deep-submicron integrated circuits manufacturing", Int. J. Mater. Prod. Technol., Vol. 18, Issue 4-6, pp. 1-18, 2003.   DOI
14 Choi, W., Abiade, J., Lee, S. M., Singh, R. K., "Effects of slurry particles on silicon dioxide CMP", J. Electrochem. Soc., Vol. 151, No. 8, pp. G512-G522, 2004.   DOI
15 Lee, H., Park, B., Kim, G., Kim, H., Seo, H., Jeong, H., "Effect of friction energy on polishing results in CMP process", Trans. Korean Soc. Mech. Eng. A., Vol. 28, No. 11, pp. 1807-1812, 2004.   DOI
16 Kim, G., Kim, H., Park, B., Park, K., Jeong, H., "Effect of abrasive particles on frictional force and abrasion in Chemical Mechanical Polishing (CMP)," J. Korean Inst. Electr. Electron. Mater. Eng., Vol. 17, No. 10, pp. 1049-1055, 2004.   DOI
17 Kim, H. J., A Study on the Interfacial Characteristics and Its Effect on Material Removal in CMP, Doctoral Thesis, Department of Mechanical Engineering, Pusan National University, Korea, 2004.
18 Lee, H. S., Jeong, H. D., Dornfeld, D. A., "Semi-empirical material removal rate distribution model for $SiO_2$ Chemical Mechanical Polishing (CMP) processes", Precis. Eng., Vol. 37, pp. 483-490, 2013.   DOI
19 Lee, H., Lee, S., "Investigation of pad wear in CMP with swing-arm conditioning and uniformity of material removal," Precis. Eng., Vol. 49, pp. 85-91, 2017.   DOI
20 Park, K., Jeong, H., "Investigation of pad surface topography distribution for material removal uniformity in CMP process", J. Electrochem. Soc., Vol. 155, No. 8, pp. H595-H602, 2008.   DOI
21 Johnson, K. L., Contact Mechanics, Cambridge University Press, Cambridge, 1985.
22 Qin, K., Moudgil, B., Park, C. W., "A chemical mechanical polishing model incorporating both the chemical and mechanical effects", Thin Solid Films, Vol. 446, pp. 277-286, 2004.   DOI
23 Luo, J., Dornfeld, D. A., "Effects of abrasive size distribution in chemical mechanical planarization: modeling and verification", IEEE Trans. Semicon. Manufact., Vol. 16, No. 3, pp. 469-476.
24 Jeong, H., Lee, H., Choi, S., Lee, Y., Jeong, H., "Prediction of real contact area from microtopography on CMP pad", J. Adv. Mech. Des. Sys. Manufact., Vol. 6, No. 1, pp. 113-120, 2012.   DOI
25 Yeruva, S. B., Park, C.-W., Rabinovich, Y. I., Moudgil, B. M., "Impact of Pad-Wafer contact area in chemical mechanical polishing", J. Electrochem. Soc., Vol. 156, No. 10, pp. D408-D412, 2009.   DOI
26 Kim, H. J., Yang, J. C., Yoon, B. U., Lee, H. D., Kim, T., "Nano-Scale stick-slip friction model for the chatter scratch generated by chemical mechanical polishing process", J. Nanosci. Nanotechnol., Vol. 12, pp. 5683-5686, 2012.   DOI
27 Lee, H., Park, B., Seo, H., Park, K., Jeong, H., "A Study on the Characteristics of Stick-Slip Friction in CMP," J. Korean Inst. Electr. Electron. Mater. Eng., Vol. 18, No. 4, pp. 313-320, 2005.   DOI
28 Jung, S., Sung, I. H., "Observation on the relationship between surface defects and stick-slip friction in chemical-mechanical polishing", Proc. of the KSTLE, Jeju, Korea, September, 2012.