Browse > Article
http://dx.doi.org/10.9725/kstle.2015.31.6.239

Effect of Brush Treatment and Brush Contact Sequence on Cross Contaminated Defects during CMP in-situ Cleaning  

Kim, Hong Jin (Advanced Module Engineering, GLOBALFOUNDRIES, 400 Stone Break Road Extension)
Publication Information
Tribology and Lubricants / v.31, no.6, 2015 , pp. 239-244 More about this Journal
Abstract
Chemical mechanical polishing (CMP) is one of the most important processes for enabling sub-14 nm semiconductor manufacturing. Moreover, post-CMP defect control is a key process parameter for the purpose of yield enhancement and device reliability. Due to the complexity of device with sub-14 nm node structure, CMP-induced defects need to be fixed in the CMP in-situ cleaning module instead of during post ex-situ wet cleaning. Therefore, post-CMP in-situ cleaning optimization and cleaning efficiency improvement play a pivotal role in post-CMP defect control. CMP in-situ cleaning module normally consists of megasonic and brush scrubber processes. And there has been an increasing effort for the optimization of cleaning chemistry and brush scrubber cleaning in the CMP cleaning module. Although there have been many studies conducted on improving particle removal efficiency by brush cleaning, these studies do not consider the effects of brush contamination. Depending on the process condition and brush condition, brush cross contamination effects significantly influence post-CMP cleaning defects. This study investigates brush cross contamination effects in the CMP in-situ cleaning module by conducting experiments using 300mm tetraethyl orthosilicate (TEOS) blanket wafers. This study also explores brush pre-treatment in the CMP tool and proposes recipe effects, and critical process parameters for optimized CMP in-situ cleaning process through experimental results.
Keywords
CMP; defect; post CMP cleaning; brush scrubber cleaning; process optimization;
Citations & Related Records
Times Cited By KSCI : 1  (Citation Analysis)
연도 인용수 순위
1 Lariviere, S., Picore, F., Saez, P. L., Baltzinger, J.-L. Delahaye, B., Matha, J., Gilhard, X., D'Oliveira, S., Lagarde, J. M., Prebot, J. L., Merlot, F., Nogueira, F., Petit, D., Williams, S., Besade, E., "Electro-static Induced Metal Breakdown at Interlayer Dielectric Post CMP Brush Clean Process", Advanced Semiconductor Manufacturing Conference, Berlin, Germany, May 2009.
2 Ee*, Y. C., Tan, S. K., Chee, C. S., Tan, J. B., Zhang, B. C., Siew, Y. K., Tan, P. K., Zhang, F., Lai, K. H., Chettiar, M. S., Wang, X. B. Thomas Fu and L. C. Hsia, “65 nm yield detractor caused by M1 filament shorts and solution”, Publications, PDF Solution (https://www.pdf.com/publications).
3 Kim, H. J., Lee, T. H., Qin, L., Kashyap, S. “Challenges in post CMP in-situ cleaning for sub-14 nm device yield enhancement”, Proc. International Conference on Planarization/CMP Technology, pp. 230-233, 2015.
4 E-Eli, Y., Starosvetsky, D., “Review on Copper Chemical-Mechanical Polishing (CMP) and Post-CMP Cleaning in Ultra Large System Integrated (ULSI)-An Electrochemical Perspective”, Electroch. Acta, Vol. 52, pp. 1825-1838, 2007.   DOI
5 Busnaina, A. A., Lin, H., Moumen, N., Feng, J.-W., Taylor, J. “Particle Adhesion and Removal Mechanism in Post-CMP Cleaning Process”, IEEE Trans. Semi. Manuf., Vol. 15, No. 4, pp. 374-382, 2002.   DOI
6 Qi, Z., Lu, W., Lee, W., “A Novel Design of Brush Scrubbing in Post-CMP Cleaning”, Inter. J Machine Tools & Manuf., Vol. 85, pp. 30-35, 2014.   DOI
7 Xu, K., Vos, R., Vereecke, G., Doumen, G., Fyen, W., Mertens, P. W., Heyns, M. M., Vinckier, C., Fransaer, J., Kovacs, F., “Fundamental Study of the Removal Mechanisms of Nano-sized Particles Using Brush Scrubber Cleaning”, J. Vac. Sci. Technol., B, Vol. 23, No. 5, pp. 2160-2175, 2005.   DOI
8 Xu, K., Vos, R., Vereecke, G., Doumen, G., Fyen, W., Mertens, P. W., Heyns, M. M., Vinckier, C., Fransaer, J., “Particle Adhesion and Removal Mechanism during Brush Scrubber Cleaning”, J. Vac. Sci. Technol., B Vol. 17, 2284, 1999.   DOI
9 Kim, H. J., Bohra, G., Yang, H., Ahn, S.-G., Qin, L., Koli, D., “Study of the cross contamination effect on post CMP in-situ cleaning process”, Microelec. Engi,. Vol. 136, No. 25, pp. 36-41, 2015.   DOI
10 Steigerwald, J. M., Murarka, S. P., Gutmann, R. J. Chemical Mechanical Planarization of Microelectronic Materials, pp.48-126, John Wiley & Sons, Inc., USA, 1997. (ISBN 0-471-13827-4)
11 Sun, T., Zhuang, Y., Li, W., Philipossian, A., “Investigation of Eccentric PVA Brush Behaviors in Post-Cu CMP Cleaning”, Microelec. Engi., Vol. 100, pp. 20-24, 2012.   DOI
12 Kwark, H., Yang, W.-Y., Sung, I.-H. “Slurry particle behavior inside pad pore during chemical mechanical polishing”, J. Korean Soc. Tribol. Lubr. Eng., Vol. 28, No. 1, pp. 7-11, 2012.   DOI