Browse > Article
http://dx.doi.org/10.4313/JKEM.2007.20.7.569

Dependency of the Device Characteristics on Plasma Nitrided Oxide for Nano-scale PMOSFET  

Han, In-Shik (충남대학교 전자전파정보통신공학과)
Ji, Hee-Hwan (매그나칩 반도체)
Goo, Tae-Gyu (충남대학교 전자전파정보통신공학과)
You, Ook-Sang (충남대학교 전자전파정보통신공학과)
Choi, Won-Ho (충남대학교 전자전파정보통신공학과)
Park, Sung-Hyung (매그나칩 반도체)
Lee, Heui-Seung (매그나칩 반도체)
Kang, Young-Seok (매그나칩 반도체)
Kim, Dae-Byung (매그나칩 반도체)
Lee, Hi-Deok (충남대학교 전자전파정보통신공학과)
Publication Information
Journal of the Korean Institute of Electrical and Electronic Material Engineers / v.20, no.7, 2007 , pp. 569-574 More about this Journal
Abstract
In this paper, the reliability (NBTI degradation: ${\Delta}V_{th}$) and device characteristic of nano-scale PMOSFET with plasma nitrided oxide (PNO) is characterized in depth by comparing those with thermally nitrided oxide (TNO). PNO case shows the reduction of gate leakage current and interface state density compared to TNO with no change of the $I_{D.sat}\;vs.\;I_{OFF}$ characteristics. Gate oxide capacitance (Cox) of PNO is larger than TNO and it increases as the N concentration increases in PNO. PNO also shows the improvement of NBTI characteristics because the nitrogen peak layer is located near the $Poly/SiO_2$ interface. However, if the nitrogen concentration in PNO oxide increases, threshold voltage degradation $({\Delta}V_{th})$ becomes more degraded by NBT stress due to the enhanced generation of the fixed oxide charges.
Keywords
Plasma nitrided oxide; Boron penetration; Interface trap charge $(Q_{it})$; Fixed oxide charge $(Q_f)$; Negative bias temperature instability(NBTI); Nano CMOSFET;
Citations & Related Records
연도 인용수 순위
  • Reference
1 C. H. Ang, C. M. Lek, S. S. Tan, B. J. Cho, T. Chen, W. Lin, and J. Z. Zhen, 'Negative bias temperature instability on plasma-nitrided silicon dioxide film', Jpn. J. Appl. Phys., Vol. 41, p. 314, 2002   DOI
2 S. S. Tan, C. H. Ang, C. M. Lek, T. P. Chen, B. J. Cho, A. See, and L. Chan, 'Characterization of ultrathin plasma nitrided gate dielectrics in pMOSFET for 0.18 um technology and beyond', Proceeding of 9th IPFA, Singapore, p. 254, 2002
3 T. Sasaki, K. Kuwazawa, K. Ranaka, J. Kato, and D. L. Kwang, 'Engineering of nitrogen profile in an ultrathin gate insulator to improve transistor performance and NETI', IEEE Electron Device Lett., Vol. 24, No. 3, p. 150, 2003   DOI   ScienceOn
4 C. H. Liu, M. T. Lee, C. Y. Lin, J. Chen, K. Schruefer, J. Brighten, N. Rovedo, T. B. Hook, M. V. Klare, S.-F. Huang, C. Wann, T. C. Chen, and T. H. Ning, 'Mechanism and Process Dependency of NBTI for pMOSFETs with Ultrathin Gate Dielectrics', IEDM Tech. Dig., p. 861, 2001
5 Q. Xu, H. Qian, Z. Han, G. Lin, M. Liu, B. Chen, C. Zhu, and D. Wu, 'Characterization of 1.9 and 1.4 nm ultrathin gate oxynitride by oxidation of nitrogen-implanted silicon substrate', IEEE Trans. on Electron Devices, Vol. 51, No. 1, 2004
6 K. S. Krisch, M. L. Green, F. H. Baumann, D. Brasen, L. C. Feldman, and L. Manchanda, 'Thickness dependence of boron penetration through $O_2$-and $N_2O$-grown gate oxides and its impact on threshold voltage variation', IEEE Trans. on Electron Devices, Vol. 43, No. 6, 1996
7 A. Chatterjee, J. Yoon, S. Zhao, S. Tang, K. Sadra, S. Crank, H. Mogul, R. Aggarwal, B. Chatterjee, S. Lytle, C. T. Lin, K. D. Lee, J. Kim, Q. Z. Hong, T. Kim, L. Olsen, M. Quevedo-Lopez, K. Kirmse, G. Zhang, C. Meek, D. Aldrich, H. Mair, M. Mehrotra, L. Adam, D. Mosher, J. Y. Yang, D. Crenshaw, B. Williams, J. Jacobs, M. Jain, J. Rosal, T. Houston, J. Wu, N. S. Nagaraj, D. Scott, S. Ashburn, and A. Tsao, 'A 65 nm CMOS technology for mobile and digital signal processing applications', IEDM Tech. Dig., p. 665, 2004
8 N. Kimizuka, K. Yamaguchi, K. Imai, T. Iizuka, C. T. Liu, R. C. Keller, and T. Horiouchi, 'NETI Enhancement by Nitrogen Incorporation into Ultrathin Gate Oxide for 0.10 um Gate CMOS Generation', Symp. On VLSI Technology Digest, p. 92, 2000
9 Y. Mitani, M. Nagarnine, H. Satake, and A. Toriumi, 'NETI Mechanism in Ultrathin Gate Dielectric-Nitrogen-originated Mechanism in SiON', IEDM Tech. Dig., p. 509, 2002
10 Y. M. Kim, 'Impact of post gate oxidation anneal on negative bias temperature instability of deep submicron PMOSFETs', J. of KIEEME(in Korean), Vol. 16, No. 3, p, 181, 2003
11 D. K. Schroder and J. A. Babcock, 'Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing', J. Appl. Phys., Vol. 94, No. 1, p. 1, 2003   DOI   ScienceOn
12 V. Huard, M. Denais, F. Perrier, N. Revil, C. Parthasarathy, A. Bravaix, and E. Vincent, 'A thorough investigation of MOSFETs NBTI degradation', Microelectronics Reliability, Vol. 45, p. 83, 2005   DOI   ScienceOn
13 S. Ogawa, M. Shirnaya, and N. Shiono, 'Interface-trap generation at ultrathin $SiO_2$ (4-6 nm)/Si interfaces during negative bias temperature aging', J. Appl, Phys., Vol. 77, No. 3, p. 1137, 1995   DOI   ScienceOn