Browse > Article
http://dx.doi.org/10.7471/ikeee.2012.16.3.265

An Advanced Embedded SRAM Cell with Expanded Read/Write Stability and Leakage Reduction  

Chung, Yeon-Bae (School of Electronics Engineering, Kyungpook National University)
Publication Information
Journal of IKEEE / v.16, no.3, 2012 , pp. 265-273 More about this Journal
Abstract
Data stability and leakage power dissipation have become a critical issue in scaled SRAM design. In this paper, an advanced 8T SRAM cell improving the read and write stability of data storage elements as well as reducing the leakage current in the idle mode is presented. During the read operation, the bit-cell keeps the noise-vulnerable data 'low' node voltage close to the ground level, and thus producing near-ideal voltage transfer characteristics essential for robust read functionality. In the write operation, a negative bias on the cell facilitates to change the contents of the bit. Unlike the conventional 6T cell, there is no conflicting read and write requirement on sizing the transistors. In the standby mode, the built-in stacked device in the 8T cell reduces the leakage current significantly. The 8T SRAM cell implemented in a 130 nm CMOS technology demonstrates almost 100 % higher read stability while bearing 20 % better write-ability at 1.2 V typical condition, and a reduction by 45 % in leakage power consumption compared to the standard 6T cell. The stability enhancement and leakage power reduction provided with the proposed bit-cell are confirmed under process, voltage and temperature variations.
Keywords
SRAM; embedded memory; 8T cell; data stability; leakage current;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Y. Wang et al., "A 1.1 GHz 12 $\mu A$/Mb-leakage SRAM design in 65 nm ultra-low-power CMOS technology with integrated leakage reduction for mobile applications", IEEE Journal of Solid-State Circuits, vol. 43, pp. 172-179, January 2008.   DOI
2 M. Khare et al., "A high performance 90nm SOI technology with 0.992 ${\mu}m^{2}$ 6T-SRAM cell", in International Electron Devices Meeting, USA, pp. 407-410, 2002.
3 J. Wang, S. Nalam, and B. H. Calhoun, "Analyzing static and dynamic write margin for nanometer SRAMs", in International Symposium on Low Power Electronics and Design, India, pp. 129-134, 2008.
4 X. J. Xi et al., "BSIM4.5.0 MOSFET Model - User's Manual", Department of Electrical Engineering and Computer Science, University of California, Berkeley, USA, 2005.
5 N. Verma and A. P. Chandrakasan, "A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy", IEEE Journal of Solid-State Circuits, vol. 43, pp. 141-149, January 2008.   DOI
6 L. Chang et al., "An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches", IEEE Journal of Solid-State Circuits, vol. 43, pp. 956-963, April 2008.   DOI   ScienceOn
7 Z. Liu and V. Kursun, "Characterization of a novel nine-transistor SRAM cell", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, pp. 488-492, April 2008.   DOI
8 B. H. Calhoun and A. P. Chandrakasan, "A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation", IEEE Journal of Solid-State Circuits, vol. 42, pp. 680-688, March 2007   DOI
9 T. -H. Kim, J. Liu, J. Keane, and C. H. Kim, "A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing", IEEE Journal of Solid-State Circuits, vol. 43, pp. 518-529, February 2008.   DOI
10 M. -F. Chang et al., "A differential data-aware power-supplied $(D^{2}AP)$ 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications", IEEE Journal of Solid-State Circuits, vol. 45, pp. 1234-1245, June 2010   DOI
11 Y. Chung and D. -Y. Lee, "Differential-read symmetrical 8T SRAM bit-cell with enhanced data stability", Electronics Letters, vol. 46, pp. 1258-1260, September 2010.   DOI
12 J. P. Kulkarni, K. Kim, and K. Roy, "A 160 mV robust Schmitt trigger based subthreshold SRAM", IEEE Journal of Solid-State Circuits, vol. 42, pp. 2303-2313, October 2007.   DOI
13 I. J. Chang et al., "A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS", IEEE Journal of Solid-State Circuits, vol. 44, pp. 650-658, February 2009.   DOI
14 K. Takeda et al., "A read-staticnoise- margin-free SRAM cell for low-VDD and high-speed applications", IEEE Journal of Solid-State Circuits, vol. 41, pp. 113-121, January 2006.   DOI
15 L. Chang et al., "Stable SRAM cell design for the 32 nm node and beyond", in Symposium on VLSI Technology, Japan, pp. 128-129, 2005.