Browse > Article
http://dx.doi.org/10.9797/TSISS.2011.7.2.060

Fluid-Structure Interaction Modeling and Simulation of CMP Process for Semiconductor Manufacturing  

Sung, In-Ha (Dept. of Mechanical Engineering, Hannam University)
Yang, Woo-Yul (Dept. of Mechanical Engineering, Hannam University)
Kwark, Ha-Slomi (Dept. of Mechanical Engineering, Hannam University)
Yeo, Chang-Dong (Dept. of Mechanical Engineering, Texas Tech University)
Publication Information
Transactions of the Society of Information Storage Systems / v.7, no.2, 2011 , pp. 60-64 More about this Journal
Abstract
Chemical mechanical planarization is one of the core processes in fabrication of semiconductors, which are increasingly used for information storage devices like solid state drives. For higher data capacity in storage devices, CMP process is required to show ultimate precision and accuracy. In this work, 2-dimensional finite element models were developed to investigate the effects of the slurry particle impact on microscratch generation and the phenomena generated at pad-particle-wafer contact interface. The results revealed that no plastic deformation and corresponding material removal could be generated by simple impact of slurry particles under real CMP conditions. From the results of finite element simulations, it could be concluded that the pad-particle mixture formed in CMP process would be one of major factors leading to microscratch generation.
Keywords
Chemical mechanical polishing; Contact stress; Finite element analysis; Fluid-structure interaction (FSI); Tribology;
Citations & Related Records
연도 인용수 순위
  • Reference
1 P. B. Zantyea, A. Kumara, A.K. Sikder, 2004, "Chemical mechanical planarization for microelectronics Applications", Materials Science and Engineering R, Vol. 45, pp.89-220.   DOI   ScienceOn
2 M. R. Oliver, 2003, "Chemical Mechanical Polishing", Semiconductor International, Vol. 26, No. 6, p. 130.
3 G. Yinbiao, Y. Wei, C. Zhen, P. Yunfeng, 2010, "Research on the with-in wafer non-uniformity (WIWNU) of the large quadrate optic in the fast polishing process", Advanced Materials Research, Vol. 126-128, pp.475-480.   DOI
4 N. Saka, T. Eusner, J. H. Chun, 2008, "Nano-Scale Scratching in Chemical-Mechanical Polishing", CIRP Annals - Manufacturing Technology, Vol. 57, No. 1, pp. 341-344.   DOI   ScienceOn
5 Y.-Y. Lin, D.-Y. Chen, C. Ma, 2009, "Simulations of a Stress and Contact Model in a Chemical Mechanical Polishing Process", Thin Solid Films, Vol. 517, No. 21, pp. 6027-6033.   DOI   ScienceOn
6 Y. Li, Microelectronic Applications of Chemical Mechanical Planarization, Wiley-Interscience, 2007.
7 Bathe, K., Zhang, H., and Ji, S., "Finite Element Analysis of Fluid Flows Fully Coupled with Structural Interactions," Computers and Structures, Vol. 72, No. 1, pp. 1-16, 1999.   DOI   ScienceOn
8 J. C. Yang, D. W. Oh, H. J. Kim, T. Kim, 2010, "Investigation on Surface Hardening of Polyurethane Pads During Chemical Mechanical Polishing (CMP)", Journal of Electronic Materials, Vol. 39, No. 3, pp.338-346.   DOI   ScienceOn
9 J. Feng, D. Wang, H. Liu et al., 2003, "Finite Element Simulation of Thermal Stress During Diffusion Bonding of $Al_2O_3$Ceramic to Aluminium," Science and Technology of Welding and Joining, Vol. 8, No. 2, pp. 138-142.
10 H. J. Kim, 2010, "Fundamental Studies on the Scratches during CMP", Proceedings of the International Conference on Planarization/CMP Technology 2010.