Browse > Article

An Efficient Voltage Scheduling for Embedded Real-Time Systems with Task Synchronization  

Lee, Jae-Dong (경남대학교 컴퓨터공학부)
Hur, Jung-Youn (경남대학교 컴퓨터공학부)
Abstract
Many embedded real-time systems have adopted processors supported with dynamic voltage scaling(DVS) recently. Power is one of the important metrics for optimization in the design and operation of embedded real-time systems. We can save considerable energy by using slowdown of processor supported with DVS. In this paper, we propose heuristic algorithms to calculate task slowdown factors for an efficient energy consumption in embedded real-time systems with task synchronization. The previous algorithm has a following constraint : given the tasks are ordered in a nondecreasing order of their relative deadline, the task slowdown factors computed are in a nonincreasing order. In this paper, we relax the constraint and propose heuristic algorithms which have the same time complexity that previous algorithm has and can save more energy. Experimental results show that the proposed algorithms are energy efficient.
Keywords
DVS(dynamic voltage staling); embedded real-time systems; task synchronization; slowdown;
Citations & Related Records
연도 인용수 순위
  • Reference
1 J. Chen and C. Kuo, "Energy-Efficient Scheduling for Real-time Systems on Dynamic Voltage Scaling(DVS) Platforms," in the 13th IEEE International Conf. on Embedded and Real-Time Computing Systems and Applications, 2007
2 P. Pillai and K. G. Shin, "Real-Time Dynamic Voltage Scaling for Low-Power Embedded Operating Systems," in Proc. 18th Symposium Operating Systems Principles, pp. 89-102, 2001
3 W. Kim, J, Kim, and S. L. Min, "A Dynamic Voltage Scaling Algorithm for Dynamic-Priority Hard Real- Time Systems using Slack Time Analysis," in Proc. Design Automation and Test Europe, pp. 788-794, 2002
4 T. P. Baker, "Stack-Based Scheduling of Real-Time Processes," J. Real-Time Syst., Vol.3, No.1, pp. 67-99, 1991   DOI
5 F. Zhang and S. T. Chanson, "Processor Voltage Scheduling for Real-Time Tasks with Non-preemptible Sections," in Proc. IEEE Real-Time Systems Symposium, pp. 235-245, 2002
6 Intel XScale Processor, Intel Inc., http://developer. intel.com/design/intelxscale/xscale_datasheet4.htm
7 J. W. S. Liu, Real-Time Systems. Upper Saddle River, NJ: PrenticeHall, 2000
8 R. Jejurikar and R. Gupta, "Dynamic Slack Reclamation with Procrastination Scheduling in Real-Time Embedded Systems," in DAC, pp 111-116, 2005
9 M. Li and F. Yao, "An Efficient Algorithm for Computing Optimal Discrete Voltage Schedules," SIAM J. Computer, Vol.35, No.3, pp 658-671, 2005   DOI   ScienceOn
10 H. Aydin, R. Melhem, D. Mosse, and P. M. Alvarez, "Dynamic and Aggressive Scheduling Techniques for Power-Aware Real-Time Systems," in Proc. IEEE Real-Time Systems Symposium, pp. 95-105, 2001
11 L. Sha, R. Rajkumar, and J. P. Lehoczky, "Priority Inheritance Protocols: An Approach to Real-Time Synchronization," IEEE Trans. on Compututer, Vol.39, No.9, pp. 1175-1185, 1990   DOI   ScienceOn
12 Y. Shin, K. Choi, and T. Sakurai, "Power Optimization of Real-Time Embedded Systems on Variable Speed Processors," in Proc. Int. Conf. Computer Aided Design, pp. 365-368, 2000
13 M. R. Garey and D. S. Johnson, Computers and Intractability: A Guide to the Theory of Np-Completeness. San Francisco, CA: Freeman, 1979
14 Y. Chen, C. Yang, and T. Kuo, "FL-PCP: Frequency locking for Energy-Efficient Real-Time Task Synchronization," the 13th IEEE International Conf. on Embedded and Real-Time Computing Systems and Applications, 2007
15 Intel StrongARM Processor, Intel Inc., http://www. intel.com/design/strong/specupdt/278259.htm
16 R. Jejurikar and R. Gupta, "Energy Aware Task Scheduling with Task Synchronization for Embedded Real Time Systems," in Proc. Int. Conf. Compilers, Architecture and Synthesis Embedded Systems, pp. 164-169, 2002
17 G. Quan and X. Hu, "Minimum Energy Fixed-Priority Scheduling for Variable Voltage Processors," in Proc. Design Automation and Test Europe, pp. 782-787, 2002
18 N. Bansal, T. Kimbrel, and K. Pruhs, "Dynamic Speed Scaling to Manage Energy and Temperature," in Proc. the Symposium on Foundation of Computer Science, pp. 520-529, 2004
19 F. Yao, A. J. Demers, and S. Shenker, "A Scheduling Model for Reduced CPU Energy," in Proc. IEEE Symposium Foundations Computer Science, pp. 374- 382, 1995
20 M. Chen and K. Lin, "Dynamic Priority Ceilings: A Concurrency Control Protocol for Real-Time Systems," Real Time Systems Journel, Vol.2, No.1, pp. 325-346, 1990   DOI
21 J. A. Stankovic, M. Spuri, M. D. Natale, and G. Buttazzo, "Implications of Classical Scheduling Results for Real-Time Systems," IEEE Trans. on Computer, Vol.28, No.6, pp. 16-25, 1994   DOI   ScienceOn
22 G. C. Buttazzo, Hard Real-Time Computing Systems. Boston, MA: Kluwer, 1995
23 R. Jejurikar and R. Gupta, "Energy Aware EDF Scheduling with Task Synchronization for Embedded Real Time Operating Systems," in Workshop Compilers and Operating System Low Power, pp. 7.1-7.6, 2002
24 R. Jejurikar and R. Gupta, "Energy-Aware Task Scheduling With Task Synchronization for Embedded Real-Time Systems," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol.25, No.6, pp 1024-1037, 2006   DOI   ScienceOn
25 F. Gruian, "Hard Real-Time Scheduling for Low- Energy using Stochastic Data and DVS Processors," in Proc. Int. Symposium Low Power Electronics and Design, pp. 46-51, 2001
26 H. Yun and J. Kim, "On Energy-Optimal Voltage Scheduling for Fixed-Priority Hard Real-Time Systems," ACM Trans. on Embeddded Computing Systems, Vol.2, No.3, pp. 393-430, 2003   DOI
27 A. K. Mok, "Fundamental Design Problems of Distributed Systems for Hard Real-Time Environment," Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., Massachusetts Inst. Technol., 1983
28 G. Quan and X. Hu, "Energy Efficient Fixed-Priority Scheduling for Real-Time Systems on Variable Voltage Processors," in Proc. Design Automation Conference, pp. 828-833, 2001
29 H. Aydin, R. Melhem, D. Mosse, and P. M. Alvarez, "Determining Optimal Processor Speeds for Periodic Real-Time Tasks with Different Power Characteristics," in Proc. EuroMicro Conference Real-Time Systems, pp. 225-232, 2001
30 W. Kwon and T. Kim, "Optimal Voltage Allocation Techniques for Dynamically Variable Voltage Processors," in Proc. Design Automation Conference, pp. 125-130, 2003
31 Transmeta Crusoe Processor, Transmeta Inc., http://www. transmeta.com/crusoe/specs/html