Browse > Article

A Dual Integer Register File Structure for Temperature - Aware Microprocessors  

Choi, Jin-Hang (고려대학교 컴퓨터통신공학부)
Kong, Joon-Ho (고려대학교 컴퓨터통신공학부)
Chung, Eui-Young (연세대학교 전기전자공학부)
Chung, Sung-Woo (고려대학교 컴퓨터통신공학부)
Abstract
Today's microprocessor designs are not free from temperature as well as power consumption. As processor technology scales down, an on-chip circuitry increases power density, which incurs excessive temperature (hotspot) problem. To tackle thermal problems cost-effectively, Dynamic Thermal Management (DTM) has been suggested: DTM techniques have benefits of thermal reliability and cooling cost. However, they require trade-off between thermal control and performance loss. This paper proposes a dual integer register file structure to minimize the performance degradation due to DTM invocations. In on-chip thermal control, the most important functional unit is an integer register file. It is the hotspot unit because of frequent read and write data accesses. The proposed dual integer register file migrates read data accesses by adding an extra register file, thus reduces per-unit dynamic power dissipation. As a result, the proposed structure completely eliminates localized hotspots in the integer register file, resulting in much less performance degradation by average 13.35% (maximum 18%) improvement compared to the conventional DTM architecture.
Keywords
Temperature-aware Microprocessor; Register File; Dynamic Thermal Management;
Citations & Related Records
연도 인용수 순위
  • Reference
1 R. Mahajan, "Thermal Management of CPUs: A Perspective on Trends, Needs, and Opportunities," In Proceedings of the 8th International Workshop on THERMal INvestigations of ICs and Systems, 2002
2 K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan, "Temperature-Aware Microarchitecture," In Proceedings of the 30th International Symposium on Computer Architecture, pp. 2-13, 2003
3 S. W. Chung and K. Skadron, "Using On-Chip Event Counters for High-Resolution, Real-Time Temperature Measurements," In Proceedings of the tenth intersociety conference on Thermal and Thermomechanical Phenomena in Electronics Systems, 2006
4 S. Heo, K. Barr, and K. Asanovic, "Reducing power density through activity migration," In Proceedings of the 2003 International Symposium on Low Power Electronics and Design, pp. 217- 222, 2003
5 F. J. Mesa-Martinez, M. Brown, J. Nayfach- Battilana, and J. Renau, "Measuring performance, power, and temperature from real processors," In Proceedings of the 2007 workshop on Experimental computer science, 2007
6 Hotspot Tool Set v3.1. http://lava.cs.virginia.edu/ HotSpot/download_form.html
7 G. J. Briggs, E. J. Tan, N. A. Nelson, and D. H. Albonesi, "QUILT: A GUI-based Integrated Circuit Floorplanning Environment for Computer Architecture Research and Education," In Proceedings of the Computer Architecture Education, 2005
8 K. Sankaranarayanan, S. Velusamy, M. Stan, and K. Skadron, "A Case for Thermal-Aware Floorplanning at the Microarchitectural Level," Instruction-Level Parallelism, Vol. 8, pp. 1-16, 2005
9 A. Agarwal, "Analysis of cache performance for operating systems and multiprogramming," Ph.D. Thesis, Stanford University, 1987
10 J. Deeney, "Thermal modeling and measurement of large high power silicon devices with asymmetric power distribution," In Proceedings of the international symposium on microelectronics, pp. 300-305, 2002
11 SPEC, Standard Performance Evaluation Corporation. http://www.spec.org/cpu2000/
12 K. Patel, W. Lee, and M. Pedram, "Active bank switching for temperature control of the register file in a microprocessor," In Proceedings of the 17th ACM Gread Lakes symposium on VLSI, pp. 231-234, 2007
13 T. Austin, E. Larson, and D. Ernst, "SimpleScalar: An Infrastructure for computer system modeling," IEEE Computer, Vol. 35, No.2, pp. 59-67, 2002   DOI   ScienceOn
14 S. H. Gunther, F. Binns, D. M. Carmean, and J. C. Hall, "Managing the Impact of Increasing Microprocessor Power Consumption," Intel Tech Journal, Vol. Q1, 2001
15 K. Puttaswamy and G. H. Loh, "Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors," In Proceedings of the 13th IEEE International Symposium on High Performance Computer Architecture, pp. 193-204, 2007
16 D. Brooks and M. Martonosi, "Dynamic Thermal Management for High-Performance Microprocessors," In Proceedings of the 7th International Symposium on High-Performance Computer Architecture, 2001
17 D. Brooks, V. Tiwari, and M. Martonosi, "Wattch: A Framework for Architectural-Level Power Analysis and Optimizations," In Proceedings of the 27th Annual Symposium on Computer Architecture, pp. 83-94, 2000
18 SIA, "The International Technology Roadmap for Semiconductors," 2005