Browse > Article

A Low Power 3D Graphics Accelerator Considering Both Active and Standby Modes for Mobile Devices  

Kim, Young-Sik (한국산업기술대학교 게임공학과)
Abstract
This paper proposed the low power texture cache for mobile 3D graphics accelerators. It is very important to reduce the leakage power in the standby mode for mobile 3D graphics accelerators and the memory access latency of texture mapping in the active mode which needs a large memory bandwidth. The proposed structure reduces the leakage power using variable threshold values of power mode transitions according to the selected texture filtering algorithms of application programs, which has the run time gain for texture mapping. In the trace driven cache simulation the proposed structure shows the best 7% performance gain to the previous MSA cache according to the new performance metric considering both normalized leakage power and run time impact.
Keywords
low power 3D graphics accelerator; mobile devices; active mode; stand-by mode; texture cache; bilinear filtering; trilinear filtering; power mode transition; normalized leakage power; run time impact;
Citations & Related Records
연도 인용수 순위
  • Reference
1 K. Flautner and D. Flynn, 'A combined hardware-software approach for low-power SoCs: applying adaptive voltage scaling and intelligent energy management software,' DesignCon 2003 System-on-Chip and ASIC Design Conference, 2003
2 N.S. Kim, K. Flautner, D. Blaauw, and T Mudge, 'Drowsy Instruction Caches: Leakage Power Reduction using Dynamic Voltage Scaling and Cache Sub-bank Prediction,' MICRO'02, 2002
3 J.J. Li and Y.S. Hwang, 'Snug set associative caches: Reducing leakage power while improving performance,' ISLPED'05, pp.345-350, Aug. 2005
4 T Akenine- Mller and J. Strm, 'Graphics for the Masses- A hardware rasterization architecture for mobile phones,' ACM Trans. on Graphics, pp. 801-808, July 2003
5 S. Kaxiras, Z. Hu, and M. Martonosi, 'Cache decay: exploiting generational behavior to reduce cache leakage power
6 T. Akenine-Moller and E. Haines, Real-time rendering, second ed. A K Peters, Ltd. 2002
7 C.H. Kim and L.S. Kim, 'Adaptive selection of an index in a texture cache,' in Proc. Int. Conf, Computer Design, Oct. 2004, pp. 295-300
8 H. Igehy, M. Eldridge, and K. Proudfoot, 'Prefetching in a texture cache architecture,' In Proceedings of 1998 SIGGRAPH/Eurographics Workshop on Graphics Hardware, pp. 133-142, Aug. 1998
9 W.C. Kwon and T Kim, 'Optimal Voltage Allocation Techniques for Dynamically Variable Voltage Processors,' DAC03
10 Quake III game Engine, http://www.idsoftware.com/games/quake/quake3-arena
11 Rakhmatov and S. Vrudhula, 'Battery Conscious Task Sequencing for Portable Devices Including Voltage/Clock Scaling,' DAC02
12 H. Makino, et. al., 'A low power SRAM using auto-back-gate-controlled MT-CMOS,' ISLPED, pp.293-298, 1998
13 MESA project, http://www.mesa3d.org/
14 C. Lee, M. Potkonjak, and W.H. Mangione-Smith. 'MediaBench: A Tool for Evaluating and Synthesizing Multimedia and Communications Systems,' 30th Annual Int. Symp, on Microarchitecture, 1997, pp. 330-335
15 W. Zhang, et. al., 'Compiler-directed instruction cache leakage optimization,' MICRO'02, 2002
16 M.D. Powell, S.-H. Yang, B. Falsafi, K. Roy, and TN. Vijaykumar, 'Gated- Vdd: A circuit technique to reduce leakage in deep-submicron cache memories,' ISLPED, pp.90-95, 2000
17 J. Euh, J. Chittamuru, and W. Burleson, 'Power-aware 3D computer graphics rendering,' Journal of VLSI Signal Processing 39, pp. 15-33, 2005   DOI
18 Intel, 'Trends and Challenges in High-Performance Microprocessor Design,' Electronics Design Process 2004, Key note speech. April 2004