Browse > Article

An Extended Interleaving Technique for Detailed Placement  

Oh Eun-Kyung (동아대학교 컴퓨터공학과)
Hur Sung-Woo (동아대학교 전자컴퓨터공학부)
Abstract
In this paper we propose an extended interleaving technique to improve a detailed placement. The existing row-based interleaving technique allows cells to move only within a row and it can be applied when there is no space between cells. The proposed extended-interleaving technique releases such constraints so that cells can move along with a vertical line parallel to a y-axis and space between cells is properly handled. Converged detailed-placements by a mature CAD tool have been improved by the proposed interleaving technique by 9.5% on average in half-perimeter wire length.
Keywords
VLSI Layout; Standard Cell; Placement; Dynamic Programming; Interleaving Technique;
Citations & Related Records
연도 인용수 순위
  • Reference
1 B. W. Kernighan and S. Lin, 'An Efficient Heuristic Procedure for Partitioning Graphs,' Bell Syst, Tech. J., vol. 49 no. 2, pp. 291-307, 1970
2 A. E. Caldwell, A. B. Kahng and I. L. Markov, 'Optimal End-Case Partitioners and Placers for Standard-Cell Layout,' Proc. of International Symposium on Physical Design, pp. 90-96, 1999   DOI
3 S. Chowdhury, 'Analytical Approaches to the Combinatorial Optimization in Linear Placement,' IEEE Trans. CAD, Vol. 8, pp. 630-639, 1989   DOI   ScienceOn
4 Maogang Wang, X. Yang, Ken Eguro, and M. Sarrafzadeh, 'Dragon2000: Placement of Industrial Circuits,' Proc. of ICCAD, pp. 260-263, 2000   DOI
5 X. Yang, B-K. Choi, and M. Sarrafzadeh, 'A Standard-Cell Placement Tool for Designs with High Row Utilization,' International Conference on Computer Design, pp. 45-49 2002   DOI
6 Tony Chan, Jason Cong, Tianming Kong, Joseph R. Shinnerl, 'Multilevel Optimization for Large-Scale Circuit Placement,' Proc. of ICCAD, pp. 171-176, 2000   DOI
7 Sung-Woo Hur and John Lillis, 'Relaxation and Clustering in a Local Search Framework: Application to Linear Placement,' Proc. of DAC, pp. 360-366, 1999   DOI
8 A.B.Kahng, P.Tucker, and A.lelikovsky, 'Optimization of Linear Placements for Wirelength Minimization with Free Sites,' Proc. of ASP-DAC, pp. 241-244, 1999   DOI
9 Y. Saab, 'An Improved Linear Placement Algorithm Using Node Compaction,' IEEE Trans. on CAD, Vol. 15, No.8, pp. 952-958, 1996   DOI   ScienceOn
10 S. Hur and J. Lillis, 'Mongrel: Hybrid Techniques for Standard Cell Placement,' Proc. of ICCAD, pp. 165-170, 2000   DOI
11 X. Yang, M. Wang, K. Egur and M. Sarrafzadeh, 'A Snap-on Placement Tool,' Proc. of International Symposium on Physical Design, pp. 153-158, 2000   DOI
12 Ke Zhong and S. Dutt, 'Effective Partition-Driven Placement with Simultaneous Level Processing and a Global Net Views,' Proc. of ICCAD, pp. 254-259, 2000   DOI
13 C. J. Alpert and A. B. Kahng, 'A General Framework for Vertex Orderings, with Applications to Netlist Clustering,' IEEE Trans. On VLSI Systems, Vol. 4, No.2, pp. 240-246, 1996   DOI   ScienceOn
14 X. Yang, B.-K. Choi, and M. Sarrafzadeh, 'Routability Driven White Space Allocation for Fixed-Die Standard-Cell Placement,' Proc. of ISPD, pp. 42-47, 2002   DOI
15 M. C. Yildiz and P. H. Madden, 'Improved Cut Sequences for Partitioning Based Placement,' Proc. of DAC, pp. 776-729, 2001
16 D. J. -H. Huang and A. B. Kahng, 'Partitioning Based Standard-Cell Global Placement with an Exact Objective,' Proc. of International Symposium on Physical Design, pp. 18-25, 1997   DOI
17 S. Goto, 'An Efficient Algorithm for the TwoDimensional Placement Problem in Electrical Circuit Layout,' IEEE Trans. Circuits and Systems, CAS-28, pp. 12-18, 1981   DOI
18 P. N. Parakh, R. B. Brown and Karem A. Sakallah, 'Congestion Driven Quadratic Placement,' Proc. of DAC, pp. 275-278, 1998   DOI
19 H. Etawil, S. Arebi, and A. Vannelli, 'Attractor Repeller Approach for Global Placement,' Proc. of ICCAD, pp. 20-24, 1999   DOI
20 Bo Hu, Marek-Sadowska, 'FAR: Fixed-Points Addition and Relaxation Based Placement,' Proc. ISPD, pp. 161-166, 2002   DOI
21 A. E. Caldwell, A. B. Kahng, and Igor L. Markov, 'Can Recursive Bisection Alone Produce Routable Placements?,' Proc. of DAC, pp. 477-482, 2000   DOI
22 Karthik Rajagopal, Tal Shaked, Yegna Parasuram, Tung Cao, Amit Chowdhary, Bill Halpin, 'Timing Driven Force Directed Placement with Physical Net Constraints,' Proc. of ISPD, pp. 60-66, 2003   DOI
23 Jurgen M. Kleinhans, Georg Sigl, Frank M. Johannes, and Kurt Antreich, 'GORDIAN: VLSI Placement by Quadratic Programming and Slicing Optimization,' IEEE Transactions on CAD, Volume 10, No.3, pp. 356-365, 1991   DOI   ScienceOn
24 Adrew B. Kahng and Qinke Wang, 'Implementation and Extensibility of an Analytic Placer,' Proc. of ISPD, pp. 18-25, 2004   DOI
25 C. Sechen and K. W. Lee, 'An Improved Simulated Annealing Algorithm for Row-Based Placement,' Proc. of ICCAD, pp. 478-481, 1987
26 M. Sarrafzadeh and M. Wang, 'NRG: Global and Detailed Placement,' Proc. of ICCAD, pp, 532-537, 1997   DOI
27 Wern- Jieh and Carl Sechen, 'Efficient and Effective Placement for Very Large Circuits,' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 349-359, 1995   DOI   ScienceOn
28 Sung-Woo Hur, Tung Cao, Karthik Rajgopal, Yegna Parasurarn, Amit Chowdhary, Vladimir Tiourin, and Bill Halpin, 'Force Directed Mongrel with Physical Net Constraints,' Proc. of DAC, pp. 214-219, 2003   DOI
29 C. Sechen and A. Sangiovanni- Vincentelli, 'TimberWolf3.2: A New Standard Cell Placement and Global Routing Package,' Proc. of DAC, pp. 432-439, 1986   DOI
30 R. Varadarajan, 'Convergence of Placement Technology in Physical Synthesis: Is Placement Really a Point Tool?,' Proc. of ISPD, pp. 6, 2003   DOI
31 Natarajan Viswanathan, Chris C. Chu, 'FastPlace: Efficient Analytical Placement Using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model,' IEEE Trans. CAD of Integrated Circuits and Systems, Vol. 24, No.5, pp. 722-733, 2005   DOI   ScienceOn
32 C. M. Fiduccia and R. M. Matteyses, 'A Linear Time Heuristic for Improving Network Partitions,' Proc. of DAC, pp. 175-181, 1982
33 P. Villanubia, 'Important Placement Considerations for Modern VLSI Chips,' Proc. of ISPD, pp. 6, 2003   DOI
34 H. Eisenmann and F. M. Johannes, 'Generic Global Placement and Floorplanning.' Proc. of DAC, pp. 269-274, 1998   DOI