Browse > Article

Hybrid Scheme of Data Cache Design for Reducing Energy Consumption in High Performance Embedded Processor  

Shim, Sung-Hoon (서울대학교 전기컴퓨터공학부)
Kim, Cheol-Hong (서울대학교 전기컴퓨터공학부)
Jhang, Seong-Tae (수원대학교 컴퓨터학과)
Jhon, Chu-Shik (서울대학교 전기컴퓨터공학부)
Abstract
The cache size tends to grow in the embedded processor as technology scales to smaller transistors and lower supply voltages. However, larger cache size demands more energy. Accordingly, the ratio of the cache energy consumption to the total processor energy is growing. Many cache energy schemes have been proposed for reducing the cache energy consumption. However, these previous schemes are concerned with one side for reducing the cache energy consumption, dynamic cache energy only, or static cache energy only. In this paper, we propose a hybrid scheme for reducing dynamic and static cache energy, simultaneously. for this hybrid scheme, we adopt two existing techniques to reduce static cache energy consumption, drowsy cache technique, and to reduce dynamic cache energy consumption, way-prediction technique. Additionally, we propose a early wake-up technique based on program counter to reduce penalty caused by applying drowsy cache technique. We focus on level 1 data cache. The hybrid scheme can reduce static and dynamic cache energy consumption simultaneously, furthermore our early wake-up scheme can reduce extra program execution cycles caused by applying the hybrid scheme.
Keywords
data cache; static cache energy; dynamic cache energy; drowsy cache; way-prediction;
Citations & Related Records
연도 인용수 순위
  • Reference
1 K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge., 'Drowsy caches: Simple techniques for reducing leakage power,' In Proc. International Symposium on Computer Architecture, July 2002   DOI
2 J. L. Hennessy and D. A. Patterson, Computer Architecture: A Quantitative Approach, Second Edition, Morgan Kaufmann, 1996
3 K. Inoue, T. Ishihara, and K. Murakami., 'Way-predicting set-associative cache for high performance and low energy consumption,' In Proceedings of the International Symposium on Low Power Electronics and Design, pp. 273-275, August 1999   DOI
4 M. D. Powell, A. Agarwal, T. N. Vijaykumar, B. Falsafi and K. Roy. 'Reducing set-associative cache energy via way-prediction and selective direct-mapping.' In proceedings of international Symposium on Microarchitecture, December 2001   DOI
5 Soontae Kim, N. Vijaykrishnan, M. J. Irwin and L. K. john., 'On load latency in low-power caches,' In Proc. of International Symposium Low Power Electronics and Design, 2003   DOI
6 S. Kaxiras, Z. Hu and M. Martonosi., 'Cache decay: Exploiting generational behavior to reduce leakage power,' In Proc. International Symposium on Computer Architecture, July 2001   DOI
7 M. Powell, et. Al., 'Gated-Vdd: A circuit technique to reduce leakage in deep-submission cache memories,' In Proc. of Int. Symp. Low Power Electronics and Design, pp. 90-95, 2000   DOI
8 D. Brooks, V. Tiwari, and M. Martonosi., 'Wattch: A framework for architectural-level power analysis and optimizations,' In Proceedings of the 27th Annual International Symposium on Computer Architecture, pages 8394, June 2000   DOI
9 D.C. Burger and T. M. Austin., The SimpleScalar Tool Set, Version 2.0, Computer Architecture News, 25 (3), pp. 13-25, June, 1997   DOI
10 SPEC CPU2000 Benchmarks, http://www.specbench.org