Browse > Article

An Energy-Delay Efficient System with Adaptive Victim Caches  

Kim Cheol Hong (서울대학교 전기컴퓨터공학부)
Shim Sunghoon (서울대학교 전기컴퓨터공학부)
Jhon Chu Shik (서울대학교 전기컴퓨터공학부)
Jhang Seong Tae (수원대학교 컴퓨터학과)
Abstract
We propose a system aimed at achieving high energy-delay efficiency by using adaptive victim caches. Particularly, we investigate methods to improve the hit rates in the first level of memory hierarchy, which reduces the number of accesses to mort power consuming memory structures such as L2 cache. Victim cache is a memory element for reducing conflict misses in a direct-mapped L1 cache. We present two techniques to fill the victim cache with the blocks that have higher probability to be re-reqeusted by processor. Hit-based victim cache ks tilled with the blocks which were referenced frequently by processor. Replacement-based victim cache is filled with the blocks which were evicted from the sets where block replacements had happened frequently According to our simulations, replacement-based victim cache scheme outperforms the conventional victim cache scheme about $2\%$ on average and refutes the power consumption by up to $8\%$.
Keywords
computer architecture; hierarchical memory system; victim cathe; low power system;
Citations & Related Records
연도 인용수 순위
  • Reference
1 D. Brooks, V. Tiwari, and M. Martonosi, 'Wattch: A framework for architectural-level power analysis and optimizations,' In Proceedings of the 27th Annual International Symposium on Computer Architecture, pp. 83-94, June 2000   DOI
2 SPEC CPU2000 Benchmarks, http://www.specbench.org
3 Dimitrios Stiliadis, Anujan Varma, 'Selective Victim Caching: A Method to Improve the Performance of Direct-Mapped Caches,' IEEE Transactions on Computers, Vol 46, No 5, pp. 603-610, 1997   DOI   ScienceOn
4 Gokhan Mernik, Glenn Reinman, and William H. Mangione-Smith, 'Reducing Energy and Delay Using Efficient Victim Caches,' In Proceedings of Int'I Symposium on Low Power Electronics and Design, Aug. 2003   DOI
5 D. Burger, T. M. Austin, and S. Bennett, 'Evaluating future micro-processors: the SimpleScalar tool set,' Tech. Report TR-I308, Univ. of Wisconsin-Madison Computer Sciences Dept., 1997
6 David H. Albonesi, 'Selective cache ways: On-Demand Cache Resource Allocation,' In Proceedings of Int'l Symposium of Microarchitecture, pp. 248-259, Nov. 1999   DOI
7 Kin, J., M. Gupta, and W. H. Mangione-Smith, 'The Filter Cache: an energy efficient memory structure,' In Proceedings of Int 'I Symposium on Microarchitecture, pp. 184-193, Dec. 2001   DOI
8 T. Johnson and W.W. Hwu, 'Run-Time Adaptive Cache Hierarchy management via Reference Analysis,' Proc. ISCA-24, 1997   DOI
9 Jude A. Rivers and Edward S. Davidson, 'Reducing Conflicts In Direct-mapped Caches with a Temporality-based Design,' Proceedings of the 1996 International Conference on Parallel Processing, pp .. 151-162, Aug. 1996   DOI
10 O. Temam and N. Drach, 'Software Assistance for Data Caches,' Proceedings of the 1st Int'l Symposium on High-Performance Computer Architecture, pp. 154, Jan. 1995   DOI
11 A. Agarwal and S.D. Pudar, 'Column-Associative Caches: A Technique for Reducing the Miss Rate of Direct Mapped Caches,' Proceedings of the 20th Int'l Symposium on Computer Architecture, pp, 179-190, May 1993   DOI
12 N. P. Jouppi, 'Improving Direct-Mapped Cache Performance by the Addition of a Small FullyAssociative Cache and Prefetch Buffers,' In Proceedings of the 17th Annual International Symposium on Computer Architecture, pp. 248-259, 1998   DOI
13 J. L. Hennessy and D. A. Patterson, Computer Architecture: A Quantitative Approach, Second Edition, Morgan Kaufmann, 1996