Browse > Article

A Hardware Cache Prefetching Scheme for Multimedia Data with Intermittently Irregular Strides  

Chon Young-Suk (충북대학교 컴퓨터과학과)
Moon Hyun-Ju (나사렛대학교 정보과학부)
Jeon Joongnam (충북대학교 전기전자컴퓨터공학부)
Kim Sukil (충북대학교 컴퓨터과학과)
Abstract
Multimedia applications are required to process the huge amount of data at high speed in real time. The memory reference instructions such as loads and stores are the main factor which limits the high speed execution of processor. To enhance the memory reference speed, cache prefetch schemes are used so as to reduce the cache miss ratio and the total execution time by previously fetching data into cache that is expected to be referenced in the future. In this study, we present an advanced data cache prefetching scheme that improves the conventional RPT (reference prediction table) based scheme. We considers the cache line size in calculation of the address stride referenced by the same instruction, and enhances the prefetching algorithm so that the effect of prefetching could be maintained even if an irregular address stride is inserted into the series of uniform strides. According to experiment results on multimedia benchmark programs, the cache miss ratio has been improved 29% in average compared to the conventional RPT scheme while the bus usage has increased relatively small amount (0.03%).
Keywords
multimedia application; data cache prefetch; reference prediction table; streaming access pattern;
Citations & Related Records
Times Cited By KSCI : 2  (Citation Analysis)
연도 인용수 순위
1 K.I. Farkas and N.P. Jouppi, 'Complexity/ Performance Tradeoffs Architecture,' Proc. of the Int. Symp. on computer architecture, pp. 211-222, Apr. 1994
2 J. M. Mulder, N. T. Quach, and M. J. Flynn, 'An Area Model for On-Chip Memories and its Applications,' IEEE Journal of Solid State Circuits, Vol. 26, No 2, pp. 98-106, Feb. 1991   DOI   ScienceOn
3 J. L. Baer and T-Fu Chen, 'An Effective on-Chip Preloading Scheme to Reduce data Access Penalty,' ACM, pp. 176-186, 1991   DOI
4 J. H. Lee, S. W. Jeong, S. D. Kim and C. C. Weems, 'An Intelligent Cache System with Hardware Prefetching for High Performance,' IEEE Trans. on computers, Vol. 52, No 5, May. 2003   DOI   ScienceOn
5 M. D. Hill, 'Dinero lll Cache Simulator,' Technical Report, Department Computer Science, University of Wisconsin, Madison, 1990
6 H. J. Moon, 'A Cache Managing Strategy for Fast Media Data Access,' Ph.D. thesis. Computer Science Department Chungbuk, National University, Feb. 2003
7 A. Srivastava and A. Eustace, 'ATOM: A System for Building Customized Program Analysis Tools,' Proceedings of the ACM SIGPLAN 94, pp. 196-205, 1994   DOI
8 T-Fu Chen and J-L, Baer, 'Effective Hardware-Based data prefetching for High-Performance Processors,' IEEE Trans. Computers, Vol. 44, No. 5, pp. 609-623, May 1995   DOI   ScienceOn
9 J. L. Baer and T-Fu Chen, 'An effective on-chip preloading scheme to reduce data access penalty,' In Proceedings of Supercomputing '91, pp. 176-186, Nov. 1991   DOI
10 R. Cucchiara, M. Piccardi and A. Prati, 'Temporal Analysis of cache Prefetching Strategies for Multimedia Applications,' in Proc. Of IEEE Intl. Performance, Computing and Communications Conf.(IPCCC), pp. 311-318, Apr. 2001   DOI
11 R. Cucchiara, A. Prati, M. Piccardi, 'Data-type dependent cache prefetching for MPEG applications,' in Proc. Of IEEE Intl. Performance, Computing and communications Conf. (IPCCC), pp. 115-122, Apr. 2002   DOI
12 R. Cucchiara, M. Piccardi and A. Prati, 'Hardware Prefetching Technique for Cache Memories in Multimedia Applications,' in proc. Of IEEE Intl. Workshop on Computer Architectures for Machine Perception (CAMP), 2000   DOI
13 H. G, A. R, and A R. Omondi, 'DSTRlDE : Data-cache miss-address-based stride prefetching scheme for multimedia processors,' 6th Australasian Computer Systems Architecture Conference (AustCSAC'0l), pp. 62-70, Jan. 29-30, 2001.   DOI
14 J. Kim, K. V. Palem and W-F. Wong, 'A Framework for Data Prefetching using Off-line Training of Markovian Predictors,' in Proc. IEEE Intl. Conf. on Computer Design(ICCD), pp. 340-347. Sep. 2002   DOI
15 D. Joshep and D. Grunwald, 'Prefetching Using Markov Predictors,' in proc. Of the 24th Annual Intl. Symp. On Computer Architecture, pp. 252-263, June 1997   DOI
16 A. J. Smith, 'Cache Memories,' ACM Computing Surveys, 14:473-530, Sep, 1982   DOI   ScienceOn
17 N. P. Jouppi, 'Improving directed-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers,' Proc. of the 17th Annual International Symposium on Computer Architecture, pp. 364-373, May 1990   DOI
18 D. Joseph and D. Grunwald, 'Prefetching Using Markov Predictors,' IEEE Trans. on computers, Vol. 48, No 2, Feb. 1999   DOI   ScienceOn