Browse > Article

Peak Power Minimization for Clustered VLIW Architectures  

서재원 (한국과학기술원 전자전산학과)
김태환 (한국과학기술원 전자전산학과)
정기석 (홍익대학교 컴퓨터공학과)
Abstract
VLIW architecture has emerged as one of the most effective architectures in dealing with multimedia applications. In multimedia applications, there is ample potential for parallelizing the execution of multiple operations because such applications typically have data intensive processing which often has limited data and/or control dependencies. As the degree of instruction-level parallelism increases, non-clustered VLIW architectures scale poorly because of the tremendous register port pressure. Therefore, clustered VLIW architecture is definitely preferred over non-clustered VLIW architecture when a higher degree of parallelizing is possible as in the case of multimedia processing However, having multiple clusters in an architecture implies that the amount of hardware is quite large, and therefore, power consumption becomes a very crucial issue. In this paper, we propose an algorithm to minimize the peak power consumption without incurring little or no delay penalty. The effectiveness of our algorithm has been verified by various sets of experiments, and up to 30.7% reduction in the peak power consumption is observed compared with the results that is optimized to minimize resources only.
Keywords
VLIW; VLIW; peak power; scheduling;
Citations & Related Records
연도 인용수 순위
  • Reference
1 C. Y. Wang and K. Roy, 'Maximum Power Estimation for CMOS Circuites Using Deterministic and Statistical Techniques', IEEE Trans. on VLSI Systems, 1998   DOI   ScienceOn
2 J. Sanchez and A. Gonzalez, 'Modulo Scheduling for a Fully-Distributed Clustered VLIW Architecture', roc. of 33th International Symposium on Microarchitecture, 2000   DOI
3 J. Zalamea, J. Llosa, E. Ayguade and M. Valero, 'Modulo Scheduling with Integrated Register Spilling for Clustered VLIW Architectures', Proc. of the 33rd Annual International Symposium on Microarchitecture, 2000
4 V. Raghunathan, S. Ravi, A. Raghunathan, G. Lakshminarayana, 'Transient Power Management Through High Level Synthesis', ICCAD 2001
5 M. Lorenz, R. Leupers and P. Marwedel, 'Low-Energy DSP Code Generation Using a Genetic Algorithm', Proc. of International Conference on Computer Design, 2001   DOI
6 Y. M. Jiang, A. Krstic, and K. T. Cheng, 'Estimation of Maximum Instantaneous Current through Supply Lines for CMOS circuites', IEEE Trans. on VLSI Systems, 2000   DOI   ScienceOn
7 V. S. Lapinskii, M. F. Jacome and G. A. de Veciana, 'High-Quality Operation Binding for Clustered VLIW Datapaths', Design Automation Conference, 2001   DOI
8 C. Akturan and M. F. Jacome, 'CALiBeR: A Software Pipelining Algorithm for Clustered VLIW Processors', Proc. of IEEE/ACM International Conference on Computer Aided Design, 2001   DOI
9 E. Ozer, S. Banerjia and T. Conte, 'Unified Assign and Schedule: A New Approach to Scheduling for Clustered Register File Microarchitectures', Proc. of the 31st Annual International Symposium on Microarchitecture, 1998   DOI
10 G. Desoli, 'Instruction Assignment for Clustered VLIW DSP Compilers: A New Approach', Technical Report HPL-98-13, HP Laboratories, 1998
11 M. T.-C. Lee, V. Tiwari, S. Malik and M. Fujita, 'Power Analysis and Minimization Techniques for Embedded DSP Software', IEEE Trans. on VLSI Systems, 1997   DOI   ScienceOn
12 L. Benini, D. Bruni, M. Chinosi, C. Silvano, V. Zaccaria and R. Zafanlon, 'A Power Modeling and Estimation Framework for VLIW Based Embedded Systems', PATMOS01-IEEE 11th International Workshop on Power and Timing Modeling, Optimization and Simulation, 2001
13 S. Rixner, W. J. Dally, B. Khailany, P. Mattson, U. J. Kapasi and J. D. Owens, 'Register Organization for Media Processing', Proc. of the 6th International Symposium on High-Performance Computer Architecture, 2000   DOI
14 M. Sami, D. Sciuto, C. Silvano and V. Zaccaria, 'An instruction-level energy model for embedded VLIW architectures', Trans. on Computer-Aided Design of Integrated Circuits and Systems, 2000   DOI   ScienceOn
15 N. D. Dutt, 'High-Level Synthesis Design Repositories' , http://www.jcs.uci.edu/~dutt
16 C. Lee, J. K. Lee and T.-T. Hwang, 'Compiler Optimization on Instruction Scheduling for Low Power', Proc. of the 13th International Symposium on System Synthesis, 2000   DOI
17 H. Yun and J. Kim, 'Power-aware Modulo Scheduling for High-Performance VLIW Processors', International Symposium on Low Power Electronics and Design, 2001   DOI
18 P. G. Paulin and J. P. Knight, 'Force-Directed Scheduling for the Behavioral Synthesis of ASIC's', IEEE Trans. on Computer-Aided Design, 1989   DOI   ScienceOn
19 W. H. Press, et al, 'Numerical Recipes in C', Cambridge University Press, 1988