Browse > Article
http://dx.doi.org/10.3745/KTCCS.2013.2.3.111

Soft Error Detection for VLIW Architectures with a Variable Length Execution Set  

Lee, Jongwon (서울대학교 전기정보공학부)
Cho, Doosan (순천대학교 전자공학과)
Paek, Yunheung (서울대학교 전기정보공학부)
Publication Information
KIPS Transactions on Computer and Communication Systems / v.2, no.3, 2013 , pp. 111-116 More about this Journal
Abstract
With technology scaling, soft error rate has greatly increased in embedded systems. Due to high performance and low power consumption, VLIW (Very Long Instruction Word) architectures have been widely used in embedded systems and thus many researches have been studied to improve the reliability of a system by duplicating instructions in VLIW architectures. However, existing studies have ignored the feature, called VLES (Variable Length Execution Set), which is adopted in most modern VLIW architectures to reduce code size. In this paper, we propose how to support instruction duplication in VLIW architecture with VLES. Our experimental results demonstrate that a VLIW architecture with VLES shows 64% code size decrement on average at the cost of about 4% additional cell area as compared to the case of a VLIW architecture without VLES when instruction duplication is applied to both architectures. Also, it is shown that the case with VLES does not cause extra execution time compared to the case without VLES.
Keywords
Soft Error; VLIW Architecture; Instruction Duplication; Reliability; VLES; Code Size;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Rau, B.R., Fisher, J.A.: Instruction-level parallel processing: History, overview, and perspective. Journal of Supercomputing 7(1-2), 9-50 (1993).   DOI
2 ZHONG, H., FAN, K., MAHLKE, S., AND SCHLANSKER, M. 2005. A distributed control path architecture for vliw processors. In Parallel Architectures and Compilation Techniques, 2005. PACT 2005. 14th International Conference on. IEEE, pp.197-206.
3 HU, J., LI, F., DEGALAHAL, V., KANDEMIR, M., VIJAYKRISHNAN, N., AND IRWIN, M. 2009. Compiler-assisted soft error detection under performance and energy constraints in embedded systems. ACM Transactions on Embedded Computing Systems (TECS) 8, 4, 27.
4 LEE, J., YOUN, J., LEE, J., AHN, M., AND PAEK, Y. 2012. Dynamic operands insertion for VLIW architecture with a reduced bit-width instruction set. In Parallel Distributed Processing Symposium (IPDPS), 2012 IEEE 26th International. pp.119-130.
5 Synopsys inc., http://www.synonpsys.com
6 ZIVOJNOVIC, V., VELARDE, J., SCHLAGER, C., AND MEYR, H. 1994. DSPstone: A DSP-oriented benchmarking methodology. In Proc. of the Intern. Conf. on Signal Processing and Technology.