Browse > Article
http://dx.doi.org/10.3745/KIPSTA.2009.16-A.2.69

Data Cache System based on the Selective Bank Algorithm for Embedded System  

Jung, Bo-Sung (경상국립대학교 제어계측공학과)
Lee, Jung-Hoon (경상국립대학교 전기전자공학부)
Abstract
One of the most effective way to improve cache performance is to exploit both temporal and spatial locality given by any program executive characteristics. In this paper we present a high performance and low power cache structure with a bank selection mechanism that enhances exploitation of spatial and temporal locality. The proposed cache system consists of two parts, i.e., a main direct-mapped cache with a small block size and a fully associative buffer with a large block size as a multiple of the small block size. Especially, the main direct-mapped cache is constructed as two banks for low power consumption and stores a small block which is selected from fully associative buffer by the proposed bank selection algorithm. By using the bank selection algorithm and three state bits, We selectively extend the lifetime of those small blocks with high temporal locality by storing them in the main direct-mapped caches. This approach effectively reduces conflict misses and cache pollution at the same time. According to the simulation results, the average miss ratio, compared with the Victim and STAS caches with the same size, is improved by about 23% and 32% for Mibench applications respectively. The average memory access time is reduced by about 14% and 18% compared with the he victim and STAS caches respectively. It is also shown that energy consumption of the proposed cache is around 10% lower than other cache systems that we examine.
Keywords
Temporal-spatial Locality; Data Cache; Dual Cache; Low Power; High Performance;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Mibench Version 1.0 http://www.eecs.umich.edu/mibench/
2 W. Shiue, S. Udayanarayanan, and C. Chakrabati, 'Data memory design and exploration for low-power embedded systems,' ACM Trams. Design Automation of Electronic Systems, Vol.6 No.4, pp.553-568, Oct., 2001   DOI   ScienceOn
3 S. Santhanam, 'Strong ARM SA110-A 160Mhz 32b 0.5W CMOS ARM Processor,' Hot Chips 8: A Symposium on High-Performance Chips, Aug., 1996
4 Kessler, R. E, Jooss, R., Lebeck, A.,and Hill, M. D, 'Inexpensive Implementations of Set-Associativity,' Proc. of the 16th International Symposium on Computer Architectures, pp.131-139, 1989   DOI
5 C. Zhang and F. Vahid, 'Using a Victim Buffer in an Application-Specific Memory Hierarchy,' Design Automation and Test in Europe Conference (DATE), pp.220-225, February, 2004
6 P. J. de Langen et al, 'Reducing traffic generated by conflict misses in caches,' The 1st ACM International Conference on Computing Frontiers, pp.235-239, Apr., 2004   DOI
7 B. Juurlink, 'Unified Dual Data Cache,' Proceedings. Euromicro Symposium on Digital System Design, pp.33-40, Sept., 2003
8 Jude A. Rivers, and Edward S. Davidson, Reducing Conflicts in Direct-Mapped Caches with a Temporality-Based Design, Proceedings of the 1996 International Conference on Parallel Processing, Vol.I, pp.151-162, Aug., 1996   DOI
9 J. H. Lee, J. S. Lee, and S. D. Kim, 'A New Cache Architecture Based on Temporal and Spatial Locality,' J. Systems Architecture, vol.46, pp.1451-1467, Sept., 2000   DOI   ScienceOn
10 A. Naz, M. Rezaei, K. Kavi and P. Sweany, Improving data. cache performance with integrated use of split caches, victim. cache and stream buffers, in Proceedings of the Workshop. on Memory performance dealing with appli cations, systems and architecture, Conference (DATA) Sept., 2004   DOI
11 D. Burger and T. M. Austin, 'The SimpleScalar tool set, version 2.0, Technical Report TR-97-1342,' University of Wisconsin-Madison, 1997
12 G. Reinman. and N. P. Jouppi, 'CACTI 3.0: An integrated cache timing and power, and area model,' Compaq WRL Report, Aug., 2001
13 Guthaus, M.R.; Ringenberg, J.S. Ernst, D. Austin, T.M. Mudge, T.; Brown, R.B., 'MiBench: A free, commercially representative embedded benchmark suite,' IEEE 4th Annual Workshop on Workload Characterization, Austin, TX, December, 2001
14 Henning, John L., 'SPEC CPU2000: Measuring CPU Perfor mance in the New Millennium,' IEEE Computer, Vol.33, No.7, pp.28-35, July, 2000   DOI   ScienceOn
15 SPEC Benchmark Suite. Information available at http://www.spec.org
16 A. j. Smith, 'Cache Memories,' ACM Computing Surveys, Vol.14, No.3, pp.473-530, Sep., 1982   DOI   ScienceOn
17 Norman P. Jouppi, 'Improving Direct-Mapped Cache Perfor mance by the Addition of a Small Fully Associative Cache and Prefetch Buffer,' 17th ISCA, pp.364-347, May, 1990   DOI
18 A. Gonzalez, C. Aliagas and M. Mateo, Data Cache with Multiple Caching Strategies Tuned to Differnt Tyoes of Locality, Suppercomputing '95, pp.338-347, July, 1995   DOI