Browse > Article
http://dx.doi.org/10.3745/KIPSTA.2004.11A.1.011

A Cache Managing Strategy for Fast Media Data Access  

Moon, Hyun-Ju (나사렛대학교 정보과학부)
Kim, Suk-il (충북대학교 전기전자컴퓨터공학부)
Abstract
Multimedia data processing in streaming pattern contains high spatial locality and low temporal locality. This paper has proposed a dynamic data prefetching scheme that fully exploits the regularity between memory addresses referred consecutively. Compared to the existing data Prefetching scheme, the Proposed scheme can reduce data Prefetching error when an application divides an way into smaller blocks and processes them block by block. Experimental results on various media benchmark programs show the proposed scheme predicts memory addresses more accurately and results in better performance than existing prefetching schemes.
Keywords
Dynamic Data Prefetching; Regulated Memory Reference; Streaming Pattern; Cache System;
Citations & Related Records
연도 인용수 순위
  • Reference
1 M. H. Lipasti et al., 'SPAID: Software Prefetching in Pointer and Call-Intensive Environments,' Proceedings of 28th International Symposium on Microarchitecture, pp. 231-236, 1995
2 C. K. Luk and T. C. Mowry, 'Compiler-Based Prefetching for Recursive Data Structures,' Proceedings of 9th International Conference on Architectural Support for Programming Languages and Operating Systems, ACM Press, pp.222-233, 1996   DOI
3 T. Mowry and A. Gupta, 'Tolerating Latency through Software-controlled Prefetching in Shared-memory Multiprocessors,' Journal of Parallel and Distributed Computing, Vol.12, No.2, pp.87-106, June, 1991   DOI   ScienceOn
4 P. Ranganathan, V. S. Pai , H. Abdel-Shafi, S. V. Adve, 'The Interaction of Software Prefetching with ILP Processors in Shared-Memory Systems,' ACM SIGARCH Computer Architecture News, Vol.25 No.2, pp.144 - 156, May, 1997   DOI
5 C. H. Chi and K. K. Fang, 'Compiler Driven Data Cache Prefetching for High Performance Computers,' Proceedings of Regional 10th Annual International Conference, Vol.2, No.9, pp.274-278. August, 1994   DOI
6 D. F. Zucker, M. J Flynn and R. B. Lee, 'A Comparison of Hardware Prefetching Techniques for Multimedia Benchmarks,' Proceedings of International Conference on Multimedia Computing and Systems, pp.236-244, June, 1996
7 T. C. Mowry, S. Lam and A. Gupta, 'Design and Evaluation of a Compiler Algorithm for Prefetching,' Proceeding of the 5th International Conference on Architectural Support for Programming Languages and Operating Systems, ACM Press, pp.62-73, 1992   DOI
8 S. P. VanderWiel and D. J Lilja, 'A Compiler-Assisted Data Prefetch Controller,' Proceedings of International Conference on Computer Design, pp.372-377, October, 1999   DOI
9 T. F. Chen and J. L. Baer, 'Effective Hardware-Based Data Prefetching for High Performance Processors,' IEEE Transactions on Computers, Vol.44, No.5, pp.609-623, May, 1995   DOI   ScienceOn
10 S. Sohoni, Z. Xu, R. Min and Y. Hu, 'A Study of Memory System Performance of Multimedia Applications,' ACM SIGMETRICS, June, 2001   DOI
11 M. E. Wolf and M. S. Lam, 'A Data Locality Opitmizing Algorithm,' Proceedins of SIGPLAN'91 Conference on Programming Language Design and Implementation, pp, 30-44, June, 1991   DOI
12 A. Smith, Sequential Program Prefetching in Memory Hierachies, IEEE Computer, 11(2), pp.7-21, 1997   DOI   ScienceOn
13 J. Fritts, W. Wolf and B. Liu, 'Understanding Multimedia Application Characteristics for Designing Programmable Media Processors,' Proceedings of SPIE, Vol.3655, pp.2-13, January, 1991   DOI
14 C. K. Luk, Optimizing the Cache Performance of Non: Numeric Applications, Ph. D. Thesis, University of Toronto, 2000
15 M. D. Hill, Dinero III Cache Simulator. Technical Report, Computer Sciences Department, University of Wisconsin, Madison
16 S. Carr, K. S. McKinley and C. W. Tseng, 'Compiler Optimization for Improving Data Locality,' Proceedings of the 6th International Conference on Architectural Support for Programming Languages and Operating Systems, pp.252-262, October, 1994
17 N. P. Jouppi, Improving Direct-mapped Cache Performance by the Addition of a Small Fully associative Cache and Prefetch Buffers, Proceedings of the 17th Annual International Symposium on Computer Atchitecture, 364-373, May, 1990   DOI
18 A. Srivastava and A. Eustace, 'ATOM: A System for Building Customized Program Analysis Tools', Proceedings of the ACM SIGPLAN'94, pp.196-205, 1994   DOI
19 C. Lee, M. Potkonjak and W. H. 'Mangione-Smith, MecliaBench : A Tool for Evaluating and Synthesizing Multimedia Communications Systems,' Proceedings of the 30th Annual international Symposium on Microarchitecture, December, 1997   DOI
20 T. F. Chen and J. L. Baer, 'Effective Hardware-Based Data Prefetching for High Performance Processors,' IEEE Transactions on Computers, 44(5), pp.609-623, May, 1995   DOI   ScienceOn
21 J. R. Goodman, Cache Consistency and Sequential Consistency, Technical Report TR-1006, University of Wisconsin-Madison, February, 1991
22 J. Fritts, Multi-Level Memory Prefetching for Media and Streaming Processing, Proceedings of International Conference on Multimedia and Expo, 2002   DOI
23 J. L. Baer and W. H. Wang, 'Architectural Choices for Multi-level Cache Hierachies, Proceedings of 16th International Conference on Parallel Processingierarchies,' pp.258-261, 1987
24 K. Gharachorloo, A. Gupta and J. Hennessy, 'Performance Evaluation of Memory Consistency Models for Shared-Memory Multiprocessors,' Proceedings of 4th International Corference on Architectural Support for Programming Languages and Operating Systems, pp.245-257, 1991   DOI
25 F. Dahlgren, M. Dubois and P. Stenstrom, 'Fixed and Adaptive Sequential Prefetching in Shared-memory Multiprocessors,' Proceedings of 1993 International Conference on Parallel Processing, pp.156-63, August, 1993   DOI
26 N. P. Jouppi, 'Improving Direct-mapped Cache Performance by the Addition of a Small Fully associative Cache and Prefetch Buffers,' Proceedings of the 17th Annual International Symposium on Computer Architecture, pp. 364-373, May, 1990   DOI