Browse > Article
http://dx.doi.org/10.6109/jkiice.2020.24.8.1109

Low Cost Hardware Engine of Atomic Pipeline Broadcast Based on Processing Node Status  

Park, Jongsu (Department of Electronic Engineering, Mokwon University)
Abstract
This paper presents a low cost hardware message passing engine of enhanced atomic pipelined broadcast based on processing node status. In this algorithm, the previous atomic pipelined broadcast algorithm is modified to reduce the waiting time until next broadcast communication. For this, the processor change the transmission order of processing nodes based on the nodes' communication channel. Also, the hardware message passing engine architecture of the proposed algorithm is modified to be adopted to multi-core processor. The synthesized logic area of the proposed hardware message passing engine was reduced by about 16%, compared by the pre-existing hardware message passing engine.
Keywords
Broadcast; Collective Communication; Pipelined Broadcast; Message Passing;
Citations & Related Records
연도 인용수 순위
  • Reference
1 P. Lotfi-Kamran, M. Modarressi, and H. Sarbazi-Azad, "An Efficient Hybrid-Switched Network-on-Chip for Chip Multiprocessors," IEEE Transactions on Computers, vol. 65, pp. 1656-1662, 2016.   DOI
2 S. Wilson, "Methods in Computational Chemistry: Volume 3: Concurrent Computation in Chemical Calculations," Springer Science & Business Media, 2013.
3 S. K. Shukla, C. Murthy, and P. K. Chande, "A Survey of Approaches used in Parallel Architectures and Multi-core Processors, For Performance Improvement," Progress in Systems Engineering, Volume 366 of the series Advances in Intelligent Systems and Computing, pp. 537-545, 2015.
4 K. Fernandes, "GPU Development and Computing Experiences," Research Computing Services, University of Cambridge, 2015.
5 J. Traff, A. Ripke, C. Siebert, P. Balaji, R. Thakur, and W. Gropp, "A Simple, Pipelined Algorithm for Large, Irregular All-gather Problems," Lecture Notes in Computer Science, vol. 5205, pp. 84-93, 2008.
6 J. Park, H. Yun, and S. Moon, "Enhancing Performance Using Atomic Pipelined Message Broadcast in a Distributed Memory MPSoC," IEICE Electronics Express, vol. 11, pp. 1-7, 2014.
7 J. Park, "An Efficient Pipelined Broadcast Method with Monitoring Processing Node Status on a Multi-Core Processor," Doctoral Dissertation of Yonsei University, 2017.