Browse > Article
http://dx.doi.org/10.6109/jkiice.2020.24.8.1037

Switched SRAM-Based Physical Unclonable Function with Multiple Challenge to Response Pairs  

Baek, Seungbum (School of Electrical Engineering, Chungbuk National University)
Hong, Jong-Phil (School of Electrical Engineering, Chungbuk National University)
Abstract
This paper presents a new Physical Unclonable Function (PUF) security chip based on a low-cost, small-area, and low-power semiconductor process for IoT devices. The proposed security circuit has multiple challenge-to-response pairs (CRP) by adding the switching circuit to the cross-coupled path between two inverters of the SRAM structure and applying the challenge input. As a result, the proposed structure has multiple CRPs while maintaining the advantages of fast operating speed and small area per bit of the conventional SRAM based PUF security chip. In order to verify the performance, the proposed switched SRAM based PUF security chip with a core area of 0.095㎟ was implemented in a 180nm CMOS process. The measurement results of the implemented PUF show 4096-bit number of CRPs, intra-chip Hamming Distance (HD) of 0, and inter-chip HD of 0.4052.
Keywords
CMOS; IoT; Physical Unclonable Function; Security; SRAM;
Citations & Related Records
Times Cited By KSCI : 2  (Citation Analysis)
연도 인용수 순위
1 U. Chatterjee, V. Govindan, R. Sadhukhan, D. Mukhopadhyay, R. S. Chakraborty, D. Mahata, and M. M. Prabhu, "Building PUF Based Authentication and Key Exchange Protocol for IoT Without Explicit CRPs in Verifi er Database," IEEE Transactions on Dependable and Secure Computing, vol. 16, no. 3, pp. 424-437, Jun. 2019.   DOI
2 Y. Zheng, S. S. Dhabu and C. Chang, "Securing IoT Monitoring Device using PUF and Physical Layer Authentication," in 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, pp. 1-5, May 2018.
3 J. Y. Lee and L. Kolasani, "Security Based Network for Health Care System," Asia-pacific Journal of Convergent Research Interchange, vol. 1, no. 1, pp. 1-6, Mar. 2015.   DOI
4 H.-J. Han and D.-W. Park, "Cybersecurity of The Defense Information System network connected IoT Sensors," Journal of the Korea Institute of Information and Communication Engineering, vol. 24, no. 6, pp. 802-808, Jun. 2020.
5 R. Maes and I. Verbauwhede, "Physically Unclonable Functions: A Study on the State of the Art and Future Research Directions," in Towards Hardware-Intrinsic Security, Berlin: Springer, pp. 3-37, Oct. 2010.
6 Y. Gao, S. F. Al-Sarawi, and D. Abbott, "Physical unclonable functions," Nature Electronics, vol. 3, no. 2, pp. 81-91, Feb. 2020.   DOI
7 J. Guajardo, S. S. Kumar, G.-J. Schrijen, and P. Tuyls, "FPGA Intrinsic PUFs and Their Use for IP Protection," in International Workshop on Cryptographic Hardware and Embedded Systems (CHES), Berlin: Springer, pp. 63-80, 2007.
8 K.-U. Choi, S. Baek, J. Heo, and J.-P. Hong, "A 100% Stable Sense- Amplifier-Based Physically Unclonable Function With Individually Embedded Non-Volatile Memory," IEEE Access, vol. 8, pp. 21857-21865, Feb. 2020.   DOI
9 K. Yang, Q. Dong, D. Blaauw, and D. Sylvester, "14.2 A physically unclonable function with BER <10-8 for robust chip authentication using oscillator collapse in 40nm CMOS," in 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, San Francisco: CA, pp. 1-3, Feb. 2015.
10 X. Xi, H. Zhuang, N. Sun, and M. Orshansky, "Strong subthreshold current array PUF with 2 65 challenge-response pairs resilient to machine learning attacks in 130nm CMOS," in 2017 Symposium on VLSI Circuits, Kyoto, pp. C268-C269, Jun. 2017.
11 S. Jeloka, K. Yang, M. Orshansky, D. Sylvester, and D. Blaauw, "A sequence dependent challenge-response PUF using 28nm SRAM 6T bit cell," in 2017 Symposium on VLSI Circuits, Kyoto, pp. C270-C271, Jun. 2017.
12 T. Idriss, H. Idriss, and M. Bayoumi, "A PUF-based paradigm for IoT security," in 2016 IEEE 3rd World Forum on Internet of Things (WF-IoT), Reston: VA, pp. 700-705, Dec. 2016.