Browse > Article
http://dx.doi.org/10.6109/jkiice.2017.21.5.899

A 2.496 Gb/s Reference-less Dual Loop Clock and Data Recovery Circuit for MIPI M-PHY  

Kim, Yeong-Woong (School of Electronic Engineering, Kumoh National Institute of Technology)
Jang, Young-Chan (School of Electronic Engineering, Kumoh National Institute of Technology)
Abstract
This paper presents a reference-less dual loop clock and data recovery (CDR) circuit that supports a data rate of 2.496 Gb/s for the mobile industry processor interface (MIPI) M-PHY. An adaptive loop bandwidth scheme is used to implement the fast lock time maintaining a low time jitter. To this scheme, the proposed CDR consists of two loops for a frequency locked loop and a phase locked loop. The proposed 2.496 Gb/s reference-less dual loop CDR is designed using a 65 nm CMOS process with 1.2 V supply voltage. The simulated peak-to-peak jitter of output clock is 9.26 ps for the input data of 2.496 Gb/s pseudo-random binary sequence (PRBS) 15. The active area and power consumption of the implemented CDR are $470{\times}400{\mu}m^2$ and 6.49 mW, respectively.
Keywords
MIPI M-PHY; Clock and data recovery (CDR); Loop band-width control;
Citations & Related Records
연도 인용수 순위
  • Reference
1 J.-K. Kim, J. Kim, G. Kim, and D.-K. Jeong, "A Fully Integrated $0.13-{\mu}m$ CMOS 40Gb/s Serial Link Transceiver," IEEE J. Solid-State Circuits, vol. 44, no. 5, pp. 1510-1521, May 2009.   DOI
2 K. Hu, T. Jiang, J. Wang, F. O'Mahony, and P. Y. Chiang, "A 0.6 mW/Gb/s, 6.4 - 7.2Gb/s Serial Link Receiver Using Local Injection-locked Ring Oscillators in 90 nm CMOS," IEEE J. Solid-State Circuits, vol. 45, no. 4, pp. 899-908, Apr. 2010.   DOI
3 K.-L. J. Wong, H. Hatamkhani, M. Mansuri, and C.-K. K. Yang, "A 27-mW 3.6Gb/s I/O Transceiver," IEEE J. Solid-State Circuits, vol. 39, no. 4, pp. 602-612, Apr. 2004.   DOI
4 S.-Y. Kim, J. Lee, H.-G. Park, Y. G. Pu, J. Y. Lee, and K.-Y. Lee, "A 1.248Gb/s - 2.918Gb/s Low-Power Receiver for MIPI-DigRF M-PHY with a Fast Settling Fully Digital Frequency Detection Loop in $0.11-{\mu}m$ CMOS," IEIE J. Semiconductor Technology and Science, vol. 15, no. 4, pp. 506-517, Aug. 2014.
5 Y.-L. Lee, S.-J. Chang, R.-S. Chu, Y.-Z. Lin, Y.-C. Chen, G. J. Ren, and C.-M. Huang, "A 5Gb/s 1/4-rate Clock and Data Recovery Circuit Using Dynamic Stepwise Bangbang Phase Detector," in Proceeding of the IEEE Asian Solid-State Circuits Conference, pp. 141-144, 2012.
6 T. Lee, Y.-H. Kim, J. Sim, J.-S. Park, and L.-S. Kim, "A 5Gb/s 2.67-mW/Gb/s Digital Clock and Data Recovery With Hybrid Dithering Using a Time-Dithered Delta- Sigma Modulator," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 24, no. 4, pp. 1450-1459, Apr. 2015.   DOI
7 G. Shu, W.-S. Choi, S. Saxena, T. Anand, A. Elshazly, and P. K. Hanumolu, "A 4-to-10.5Gb/s 2.2mW/Gb/s continuous-rate digital CDR with automatic frequency acquisition in 65nm CMOS," in Dig. Tech. Papers of IEEE Int. Solid-State Circuits Conference, pp. 150-151, 2014.
8 S.-J. Song, S. M. Park, and H.-J. Yoo, "A 4Gb/s CMOS clock and data recovery circuit using 1/8-rate clock technique," IEEE J. Solid-State Circuits, vol. 38, pp. 1213- 1219, July 2003.   DOI
9 B. Razavi, Design of Integrated Circuits for Optical Communications, New York, NY: McGraw-Hill, 2002.
10 J. Savoj and B. Razavi, "A 10Gb/s CMOS clock and data recovery circuit with a half-rate linear phase detector," IEEE J. Solid-State Circuits, vol. 36, pp. 761-767, May 2001.   DOI