Browse > Article
http://dx.doi.org/10.6109/jkiice.2012.16.4.643

Consideration of CTS using Efficient Buffer Insertion for SoC in Multiple Clock Domain  

Seo, Yong-Ho (광운대학교)
Choi, Eui-Sun ((주)소노비젼)
Kim, Dong-Wook (광운대학교)
Abstract
In this paper, we consider a clock tree synthesis technique (CTS) based on buffer insertion method in the multiple clock domain. We propose some detail techniques about the preparing items and the practical method for implementing CTS. We also propose a post processing after CTS implementation. Until now, the buffer insertion-based CTS technique has been widely used, and this paper discusses especially it's practical technique to be applied in the commercial fields to develop ASIC and SoC. CTS is very dependent on the used tool. We use Astro of Synopsys and propose the empirical and theoretical information of the detail techniques for implementing CTS using this tool. We expect that the proposed technique becomes to be good guidelines to backend designers.
Keywords
clock tree synthesis; CTS; SoC; multiple clock domain; buffer;
Citations & Related Records
연도 인용수 순위
  • Reference
1 M. Edahiro, "A clustering-based optimization algorithm in zero-skew routings," In Proc. DAC, pp. 612-616, 1993.
2 M. Jackson, A. Srinivasan, and E. S. Kuh, "Clock routing for high performance ICs," In Proc. DAC, pp. 573-579, 1990.
3 A. Kahng, J. Cong, and G. Robins, "High-performance clock routing based on recursive geometric matching," In Proc. DAC, pp. 322-327, 1991.
4 R.-S. Tsay, "Exact zero skew," In Proc. DAC, pp. 336-339, 1991.
5 K. D. Boese and A. B. Kahng, "Zero-skew clock routing trees with minimum wirelength," In Proc. ASICON, pp. 17-21, 1992.
6 T.-H. Chao, Y.-C. H. Hsu, and J.-M. Ho, "Zero skew clock net routing," In Proc. DAC, pp. 518-523, 1992.
7 M. Edahiro, "Minimum skew and minimum path length routing in VLSI layout design," NEC Research and Development, 32(4), pp. 569-575, 1991.
8 R. Chaturvedi and J. Hu, "Buffered clock tree for high quality IC design," In Proc. ISQED, pp. 381-386, 2004.
9 Y. P. Chen and D. F. Wong, "An algorithm for zero-skew clock tree routing with buffer insertion," In Proc. ED & TC, pp. 230-236, 1996.
10 G. E. Tellez and M. Sarrafzadeh, "Minimal buffer insertion in clock trees with skew and slew rate constraints," In Trans. CAD, pp. 333-342, 1997.
11 X.-W. Shih, C.-C. Cheng, Y.-K. Ho, and Y.-W. Chang, "Blockage-Avoiding buffered Clock-Tree Synthesis for Clock Latency-Range and Skew Minimization," In Proc. ASP-DAC, 2010.
12 A. Rajaram and D. Z. Pan, "MeshWorks: an efficient framework for planning, synthesis and optimization of clock mesh networks," In Proc. ASPDAC, pp. 250-257, 2008.
13 http://blog.naver.com/zelkobaray?Redirect=Log&logNo=10024006446
14 J. Minz, X. Zhao, and S. K. Lim. buffered clock tree synthesis for 3d ics under thermal variations. In Proc. Asia and South Pacific Design Automation Conf., Jan 2008.
15 T.-Y. Kim and T. Kim. Clock tree embedding for 3d ics. In Proc. Asia and South Pacific Design Automation Conf., Jan 2010.
16 W. C. Elmore, "The transient response of damped linear network with particular regard to wideband amplifier," In J. Applied Physics, pp. 55-63, 1948.
17 X. Zhao, D. Lewis, H.-H. S. Lee, and S. K. Lim. Pre-bond Testable Low-Power Clock Tree Design for 3D Stacked ICs. In Proc. Int. Conf. on Computer Aided Design, Nov 2009.
18 F. Liu. A General Framwwork for Spatial Correlation Modeling in VLSI Design. In Proc. Design Automation Conf., Jun 2007.
19 EE Times, April 07, 2009, http://www.eetimes.com