Browse > Article
http://dx.doi.org/10.6109/jkiice.2011.15.8.1820

An Energy-Efficient Hybrid Scheduling Technique for Real-time and Non-real-time Tasks in a Sensor Node  

Tak, Sung-Woo (부산대학교 정보컴퓨터공학부)
Abstract
When both types of periodic and aperiodic tasks are required to run on a sensor node platform with limited energy resources, we propose an energy-efficient hybrid task scheduling technique that guarantees the deadlines of real-time tasks and provides non-real-time tasks with good average response time. The proposed hybrid task scheduling technique achieved better performance than existing EDF-based DVS scheduling techniques available in the literature, the FIFO-based TinyOS scheduling technique, and the task-clustering based non-preemptive real-time scheduling technique.
Keywords
Sensor node; Low-power; Real-time system; TinyOS; Scheduling;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Z. Cao, B. Foo, L. He, and M. Schaar, "Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications," IEEE Transaction on Circuits and Systems, Vol 57, No. 3, pp. 681-690, March 2010.   DOI   ScienceOn
2 P. Pillai and K.G. Shin, "Real-time dynamic voltage scaling for low-power embedded operating systems," ACM symposium on Operating Systems Principles, pp. 89-102, October 2001.
3 R. Ernst and W. Ye, "Embedded program timing analysis based on path clustering and architecture classification," IEEE/ACM International Conference on Computer-Aided Design, pp. 598-694, 1997.
4 P. Levis and S. Madden, "The emergence of networking abstractions and techniques in TinyOS," USENIX and ACM Symposium on Networked Systems Design and Implementation, pp. 1-14, March 2004.
5 H. Abrach and S. Bhati. "MANTIS - system support for MultiModAl NeTworks of In-situ Sensors," ACM International Workshop on Wireless Sensor Networks and Applications, pp. 50-59, September, 2003.
6 A. Dunkels, B. Gronvall, and T. Voigt, "CONTIKI - a lghtweight and flexible operating system for tiny networked sensors," Annual IEEE International Conference on Local Computer Networks, pp. 455-462, November 2004.
7 P. Ganesan and A.G. Dean, "Enhancing the AvrX kernel with efficient secure communication using software thread integration," Real-Time and Embedded Technology and Applications Symposium, pp. 265-275, May 2004.
8 V. Raghunathan, C. Schurgers, S. Park, and M.B. Srivastava, "Energy-aware wireless microsensor networks," IEEE Signal Processing Magazine, Vol. 1, No. 2, pp. 40-50, March 2002.
9 K. Jeffay and C.U. Martel, "On non-preemptive scheduling of periodic and sporadic tasks," IEEE Real-Time Systems Symposium, pp. 129-139, December 1991.
10 V. Subramonian, H-M. Huang, S. Data, and C. Lu, "Priority scheduling in TinyOS - A case study," Technical Report WUCSE-2003-74, Washington University - St. Louis, December 2002.
11 P. Levis and C. Sharp, "Schedulers and tasks," TinyOS 2.x Extension Proposal 106.
12 C. Duffy, U. Roedig, J. Herbert, and C. Screenan, "Adding preemption to TinyOS," Workshop on Embedded Network Sensors, pp. 88-92, June 2007.
13 M. Healy, T. Newe, and E. Lewis, "Power management in operating systems for wireless sensor nodes," IEEE Sensor Applications Symposium, pp. 1-6, February 2007.
14 C. Duffy, U. Roedig, J. Herbert, and C. Sreenan, "Improving the energy efficiency of the MANTIS kernel," European Conference on Wireless Sensor Networks, pp. 261-276, January 2007.
15 H. Aydin, R. G. Melhem, D. Mosse, and P. Mejıa-Alvarez, "Power aware scheduling for periodic real-time tasks," IEEE Transaction on Computers, Vol. 53, No. 5, pp. 584-600, May 2004.   DOI   ScienceOn
16 M. Qiu, L. Yang, Z. Shao, and E. Sha, "Dynamic and leakage energy minimization with soft real-time loop scheduling and voltage assignment," IEEE Trans. on VLSI Systems, Vol. 18, No. 3, March 2010.
17 R. Jejurikar, C. Pereira, and R. Gupta, "Leakage aware dynamic voltage scaling for real-time embedded systems," Annual ACM/IEEE Design Automation Conference, pp. 275-280, June 2004.
18 S. Martin, K. Flautner, T. Mudge, and D. Blaauw, "Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessor under dynamic workloads," IEEE/ACM International Conference on Computer-Aided Design, pp. 721-725, November 2002.