Browse > Article
http://dx.doi.org/10.6109/jkiice.2010.14.2.453

Performance and Power Consumption Improvement of Embedded RISC Core  

Jung, Hong-Kyun (한밭대학교 정보통신전문대학원 정보통신공학과)
Ryoo, Kwang-Ki (한밭대학교 정보통신전문대학원 정보통신공학과)
Abstract
This paper presents a branch prediction algorithm and a 4-way set-associative cache for performance improvement of embedded RISC core and a clock-gating algorithm using ODC (Observability Don't Care) operation to improve the power consumption of the core. The branch prediction algorithm has a structure using BTB(Branch Target Buffer) and 4-way set associative cache has lower miss rate than direct-mapped cache. Pseudo-LRU Policy, which is one of the Line Replacement Policies, is used for decreasing the number of bits that store LRU value. The clock gating algorithm reduces dynamic power consumption. As a result of estimation of performance and dynamic power, the performance of the OpenRISC core applied the proposed architecture is improved about 29% and dynamic power of the core using Chartered $0.18{\mu}m$ technology library is reduced by 16%.
Keywords
OpenRISC; Set-associative Cache; Branch Prediction Algorithm; Clock gating; ODC operation; BTB;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Damjan Lampret, OpenRISC1200 IP Core Specification Revision 0.7, 2001
2 Damjan Lampret, OpenRISCI000 Architecture Manual, 2003
3 이종익, 손승일, 이문기, "캐쉬 메모리에서 True-LRU 알고리즘과 Pseudo-LRU 알고리즘의 성능 비교," 정보과학회논문지 제23권 제11호, pp. 1148-1160, 1996
4 Nikitas Alexandridis, Design of Microprocessor based systems, Prentice Hall, 1993
5 Lee, J.K.F. and Smith, A.J., "Branch Prediction Strategies and Branch Target Buffer Design," IEEE Computer Magazine, vol. 17, no. 1, pp. 6-22, Jan. 1984
6 Christian Piguet, Low-Power CMOS Circuits, CRC Press, 2006
7 Hongkyun Jung and Kwangki Ryoo, "The Design of Cache Architecture in 32-bit RISC for the Performance Improvement," ITC-CSCC 2007, vol. 2, pp. 308-309, 2007
8 Pietro Babighian, Luca Benini and Enrico Macii, "A Scalable Algorithm for RTL Insertion of Gated Clocks Based on ODCs Computation," IEEE Trans. Computer Aided Design of Integrated Circuits and System, vol. 24, no. 1 ,pp.29-42, 2005   DOI   ScienceOn
9 김형준, 강광명, 류광기, "OpenRISC 프로세서의 저전력 설계와 성능 개선," 제4회 국방정보 및 제어기술학술대회, pp. 79-81, 2008