Browse > Article
http://dx.doi.org/10.4218/etrij.2019-0556

An efficient reliability estimation method for CNTFET-based logic circuits  

Jahanirad, Hadi (Department of Electrical Engineering, University of Kurdistan)
Hosseini, Mostafa (Department of Electrical Engineering, University of Kurdistan)
Publication Information
ETRI Journal / v.43, no.4, 2021 , pp. 728-745 More about this Journal
Abstract
Carbon nanotube field-effect transistors (CNTFETs) have been widely studied as a promising technology to be included in post-complementary metal-oxide-semiconductor integrated circuits. Despite significant advantages in terms of delay and power dissipation, the fabrication process for CNTFETs is plagued by fault occurrences. Therefore, developing a fast and accurate method for estimating the reliability of CNTFET-based digital circuits was the main goal of this study. In the proposed method, effects related to faults that occur in a gate's transistors are first represented as a probability transfer matrix. Next, the target circuit's graph is traversed in topological order and the reliabilities of the circuit's gates are computed. The accuracy of this method (less than 3% reliability estimation error) was verified through various simulations on the ISCAS 85 benchmark circuits. The proposed method outperforms previous methods in terms of both accuracy and computational complexity.
Keywords
CNTFETs; gate-level circuit design; reliability estimation algorithms; transistor-level design;
Citations & Related Records
연도 인용수 순위
  • Reference
1 S. J. Tans, A. R. M. Verschueren, and C Dekker, Room temperature transistor based on a single carbon nanotube, Nat. 393 (1998), 49-52.   DOI
2 C. G. Almudever and A. Rubio, Variability and reliability analysis of CNFET technology: Impact of manufacturing imperfections, Micro. Reliab. 55 (2015), 358-366.   DOI
3 B. Ghavami et al., Statistical functional yield estimation and enhancement of CNFET-based VLSI circuits, IEEE Trans. VLSI. 21 (2013), 887-900.   DOI
4 F. Yang et al., Chirality pure carbon nanotubes: ,sorting, and characterization, Chem. Rev. 120 (2020), 2693-2758.   DOI
5 H. Jahanirad, CC-SPRA: Correlation coefficients approach for signal probability-based reliability analysis, IEEE Trans. Very Large Scale Integr. Syst. 27 (2019), 927-939.   DOI
6 H. Jahanirad and K. Mohammadi, Sequential logic circuits reliability analysis, J. Circuits Syst. Comput. 21 (2012), no. 5, 1250040.   DOI
7 J. M. Rabaey and S. Malik, Challenges and solutions for late-and postsilicon design, IEEE Des. Test Comput. 25 (2008), 296-302.   DOI
8 A. D. Franklin et al., Sub-10 nm carbon nanotube transistor, Nano Lett. 12 (2012), 758-762.   DOI
9 S. Qiu et al., Solution-processing of high-purity semiconducting single-walled carbon nanotubes for electronics devices, Adv. Mater. 31 (2019), no. 9, 1800750.   DOI
10 T. Skotnicki et al., The end of CMOS scaling: Toward the introduction of new materials and structural changes to improve MOSFET performance, IEEE Circuits Devices Mag. 21 (2005), 16-26.   DOI
11 M. K. Q. Jooq et al., Design and performance analysis of wrap-gate CNTFET-based ring oscillators for IoT applications, Integration. 70 (2020), 116-125.
12 H. Jahanirad, Efficient reliability evaluation of combinational and sequential logic circuits, J. Comput. Electron. 18 (2019), 343-355.   DOI
13 S. Fujita et al., Circuit and systems based on advanced MRAM for near future computing applications, in Proc. Symp. VLSI Circuits, (Kyoto, Japan), June 2019, pp. C278-C279.
14 Z. Yao, C. L. Kane, and C. Dekker, High-field electrical transport in single-wall carbon nanotubes, Phys. Rev. Lett. 84 (2000), 2941-2944.   DOI
15 T. Durkop et al., Extraordinary mobility in semiconducting carbon nanotubes, Nano Lett. 4 (2004), 35-39.   DOI
16 I. A. Khan and N. Alam, CNTFET based circuit design for improved performance, in Proc. Int. Conf. Electr., Electron. Comput. Eng. (Aligarh, India), Nov. 2019, pp. 1-5.
17 M. A. Savari and H. Jahanirad, NN-SSTA: A deep neural network approach for statistical static timing analysis, Expert Syst. Appl. 149 (2020), 113309.   DOI
18 B. Srinivasu and K. Sridharan, A transistor-level probabilistic approach for reliability analysis of arithmetic circuits with applications to emerging technologies, IEEE Trans. Reliability. 66 (2017), 440-457.   DOI
19 S. Banerjee, A. Chaudhuri, and K. Chakrabarty, Analysis of the impact of process variations and manufacturing defects on the performance of carbon-nanotube FETs., IEEE Trans. Very Large Scale Integration Syst. 28 (2020), no. 6, 1513-1526.   DOI
20 M. Ahmad and S. R. P. Silva, Low temperature rowth of carbon nanotubes-A review, Carbon. 158 (2019), 24-44.   DOI
21 K. Tamersit, Computational study of p-n carbon nanotube tunnel field-effect transistor, IEEE Trans. Electron. Devices. 67 (2020), 704-710.   DOI
22 A. Javey et al., Carbon nanotube field-effect transistors with integrated ohmic contacts and high-k gate dielectrics, Nano Lett. 4 (2004), 447-450.   DOI
23 B. Ghavami and M. Raji, Failure characterization of carbon nanotube FETs under process variations: Technology scaling issues, IEEE Trans. Device Mater. Reliab. 16 (2016), 164-171.   DOI
24 S. K. Vendra and M. Chrzanowska-Jeske, Tube redundancy in statistical evaluation of critical path delay of CNFET circuits in the presence of tube variations, in Proc. IEEE Int. Conf. Nanotechnol. (Macao, China), July 2019, pp. 374-377.
25 P. Zarkesh-Ha and A. A. M. Shahi, Stochastic analysis and design guidelines for CNFETs in gigascale integrated systems, IEEE Trans. Electron. Devices. 58 (2011), 530-539.   DOI
26 E. Abiri, A. Darabi, and S. Salem, Design of multiple-valued logic gates using gate-diffusion input for image processing applications, Comput. Electr. Eng. 69 (2018), 142-157.   DOI
27 M. Gholipour and N. Masoumi, Design investigation of nano electronic circuits using crossbar based nano architectures, Microelectron. J. 44 (2013), 190-200.   DOI
28 A. Bachtold et al., Logic circuits with carbon nanotube transistors, Sci. 294 (2001), 1317-1320.   DOI
29 S. J. Han et al., High-speed logic integrated circuits with solution-processed self-assembled carbon nanotubes, Nat. Nanotechnol. 12 (2017), 861-866.   DOI
30 C. Wang et al., Device study, chemical doping, and logic circuits based on transferred aligned single-walled carbon nanotubes, Appl. Phys. Lett. 93 (2008), 33101.   DOI
31 J. Zhang, N. P. Patil, and S. Mitra, Probabilistic analysis and design of metallic-carbon-nanotube-tolerant digital logic circuits, IEEE Trans. Comput. Aid. D. 28 (2009), 1307-1320.   DOI
32 R. Ashraf, M. Chrzanowska-Jeske, and S. G. Narendra, Functional yield estimation of carbon nanotube-based logic gates in the presence of defects, IEEE Trans. Nanotechnol. 9 (2010), 687-700.   DOI
33 A. Lin et al., ACCNT-A metallic-CNT-tolerant design methodology for carbon-nanotube VLSI: Concepts and experimental demonstration, IEEE Trans. Electron Devices. 56 (2009), 2969-2978.   DOI
34 S. Lin, Y. B. Kim, and F. Lombardi, CNTFET-based design of ternary logic gates and arithmetic circuits, IEEE Trans. Nanotechnol. 10 (2011), 217-225.   DOI
35 J. Liang et al., Design and reliability analysis of multiple valued logic gates using carbon nanotube FETs, in Proc. IEEE/ACM Int. Symp. Nanoscale Archit. (Amsterdam, Netherlands), July 2012, pp. 131-138.
36 F. Saeidi, B. Ghavami, and M. Raji, A fast method for process reliability analysis of CNFET-based digital integrated circuits, J. Comp. Elect. 17 (2018), 571-579.   DOI