Browse > Article
http://dx.doi.org/10.4218/etrij.16.0116.0037

Design Space Exploration for NoC-Style Bus Networks  

Kim, Jin-Sung (Department of Electronic Engineering, Sun Moon University)
Lee, Jaesung (Department of Electronic Engineering, Korea National University of Transportation)
Publication Information
ETRI Journal / v.38, no.6, 2016 , pp. 1240-1249 More about this Journal
Abstract
With the number of IP cores in a multicore system-on-chip increasing to up to tens or hundreds, the role of on-chip interconnection networks is vital. We propose a networks-on-chip-style bus network as a compromise and redefine the exploration problem to find the best IP tiling patterns and communication path combinations. Before solving the problem, we estimate the time complexity and validate the infeasibility of the solution. To reduce the time complexity, we propose two fast exploration algorithms and develop a program to implement these algorithms. The program is executed for several experiments, and the exploration time is reduced to approximately 1/22 and 7/1,200 at the first and second steps of the exploration process, respectively. However, as a trade-off for the time saving, the time cost (TC) of the searched architecture is increased to up to 4.7% and 11.2%, respectively, at each step compared with that of the architecture obtained through full-case exploration. The reduction ratio can be decreased to 1/4,000 by simultaneously applying both the algorithms even though the resulting TC is increased to up to 13.1% when compared with that obtained through full-case exploration.
Keywords
VLSI; System-on-chip; On-chip bus; SNP; Bus matrix;
Citations & Related Records
연도 인용수 순위
  • Reference
1 S. Pasricha, N. Dutt, and M. Ben-Romdhane, "BMSYN: Bus Matrix Communication Architecture Synthesis for MPSoC," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 26, no. 8, Aug. 2007, pp. 1454-1464.   DOI
2 S. Pasricha et al., "CAPPS: a Framework for Power-Performance Tradeoffs in Bus-Matrix-Based On-chip Communication Architecture Synthesis," IEEE Trans. Very Large Scale Integr. Syst., vol. 18, no. 2, Feb. 2010, pp. 209-221.   DOI
3 R. Wang et al., "Bus Matrix Synthesis based on Steiner Graphs for Power Efficient System-on-Chip Communications," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 30, no. 2, Feb. 2011, pp. 167-179.   DOI
4 S. Sombatsiri et al., "An AMBA Hierarchical Shared Bus Architecture Design Space Exploration Method Considering Pipeline, Burst and Split Transaction," Int. Conf. Electrical Eng. /Electron., Comput., Telecommun. Inform. Technol., Krabi, Thailnad, May 15-17, 2013, pp. 1-6.
5 K. Rawat, K. Sahni, and S. Pandey, "RTL Implementation for AMBA ASB APB Protocol at System on Chip level," Int. Conf. Signal Process. Integr. Netw., Noida, India, Feb. 19-20, 2015, pp. 927-930.
6 K.E. Ahmed and M.M. Farag, "Enhanced Overloaded CDMA Interconnect (OCI) Bus Architecture for On-chip Communication," IEEE Annu. Symp. High-Performance Interconnects, Santa Clara, CA, USA, Aug. 26-28, 2015, pp. 78-87.
7 Y. Liu and B.C. Schafer, "Adaptive Combined Macro and Micro-Exploration of Concurrent Applications Mapped on Shared Bus Reconfigurable SoC," Electron. Syst. Level Synthesis Conf., San Francisco, CA, USA, June 10-11, 2015, pp. 11-16.
8 W.H. Ho and T.M. Pinkston, "A Design Methodology for Efficient Application-Specific On-chip Interconnects," IEEE Trans. Parallel Distrib. Syst., vol. 17, no. 2, 2006, pp. 174-190.   DOI
9 D. Bertozzi et al., "NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip," IEEE Trans. Parallel Distrib. Syst., vol. 16, no. 2, Feb. 2005, pp. 113-129.   DOI
10 M. Jun, W.W. Ro, and E. Chung, "Exploiting Implementation Diversity and Partial Connection of Routers in Application-Specific Network-on-Chip Topology Synthesis," IEEE Trans. Comput., vol. 63, no. 6, June 2014, pp. 1434-1445.   DOI
11 C. Killian et al., "Smart reliable Network-on-Chip," IEEE Trans. Very Large Scale Integr. Syst., vol. 22, no. 2, 2014, pp. 242-255.   DOI
12 The MathWorks, Statistics Toolbox Documentation. http://www.mathworks.co.kr/access/helpdesk/help/toolbox/stats/index.html?/access/helpdesk/help/toolbox/stats
13 A. Mahdoum, "Architectural Synthesis of Networks on Chip," IEEE Conf. Ind. Electron. Appl., June 2013, pp.1889-1894.
14 K.-M. Lee, Design and Implementation of Low-Power Networkon-Chip for Application to High-Performance System-on-Chip Design, Ph.D dissertation, KAIST, Daejeon, Korea, 2005.
15 N.L. Johnson, S. Kotz, and N. Balakrishnan, Continuous Univariate Distributions, Volume 2, Malden, MA, USA: Wiley-Inter Science, 1994.
16 G. De Micheli and L. Benini, "Networks on Chips: Technology and Tools," Los Altos, CA, USA: Morgan Kaufmann Publishers, 2006.
17 W. Dally, "Route Packets, not Wires: On-chip Interconnection Networks," Proc. Design Autom. Conf., June 22, 2001, pp. 684-689.
18 L. Benini and G. De Micheli, "Networks on Chips: a New SoC Paradigm," Comput., vol. 35, no. 1, Jan. 2002, pp. 70-78.   DOI
19 A. Jantsch and H. Tenhunen, "Networks on Chip," Boston, MA, USA: Kluwer Academic Publishers, 2003.
20 W.J. Dally and B. Towles, "Principles and Practices of Interconnection Networks," New York, USA: Elsevier Science Publishers, 2003.
21 T. Konstantakopoulos et al., "Energy Scalability of On-chip Interconnection Networks in Multicore Architectures," MIT CSAIL Technical Report, Nov. 2007.
22 R. Wang et al., "Bus Matrix Synthesis based on Steiner Graphs for Power Efficient System-on-Chip Communications," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 30, no. 2, Feb. 2011, pp. 167-179.   DOI
23 ARM, AMBA AXI protocol specification, 2003.
24 J. Lee and H.-J. Lee, "Wire Optimization for Multimedia SoC and SiP Designs," IEEE Trans. Circuits Syst. I, vol. 55, no. 8, Sept. 2008, pp. 2202-2215.   DOI
25 T. Seceleanu el al., "Resource Allocation Methodology for the Segmented Bus Platform," IEEE Int. SOC Conf., Herndon, VA, USA, Sept. 25-28, 2005, pp. 129-132.
26 C. Hsieh and M. Pedram, "Architectural Energy Optimization by Bus Splitting," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 21, no. 4, Apr. 2002, pp. 408-414.   DOI