Browse > Article
http://dx.doi.org/10.12673/jant.2014.18.6.600

An Efficient Hardware Implementation of CABAC Using H/W-S/W Co-design  

Cho, Young-Ju (Department of Electronics and Communication Engineering, KwangWoon University)
Ko, Hyung-Hwa (Department of Electronics and Communication Engineering, KwangWoon University)
Abstract
In this paper, CABAC H/W module is developed using co-design method. After entire H.264/AVC encoder was developed with C using reference SW(JM), CABAC H/W IP is developed as a block in H.264/AVC encoder. Context modeller of CABAC is included on the hardware to update the changed value during binary encoding, which enables the efficient usage of memory and the efficient design of I/O stream. Hardware IP is co-operated with the reference software JM of H.264/AVC, and executed on Virtex-4 FX60 FPGA on ML410 board. Functional simulation is done using Modelsim. Compared with existing H/W module of CABAC with register-level design, the development time is reduced greatly and software engineer can design H/W module more easily. As a result, the used amount of slice in CABAC is less than 1/3 of that of CAVLC module. The proposed co-design method is useful to provide hardware accelerator in need of speed-up of high efficient video encoder in embedded system.
Keywords
High efficiency video coding; Context adaptive binary arithmetic coding; H.264; M-coder;
Citations & Related Records
연도 인용수 순위
  • Reference
1 K. Andra, T. Acharya, and C. Chakrabarti, "A multi-bit binary arithmetic coding technique," in 2000 International on Image Processing, Vancouver: Canada, pp. 928-931, Sept. 2000.
2 W. B. Pennebaker, J. J.Mitchell, G. G. Langdon and R. B. Arps, "An overview of the basic principles of the q coder adaptive binary arithmetic coder," IBM Journal of Research and Envelopment, No. 6, pp. 717-726, Nov. 1988.
3 X. Tian, Entropy Coders of the H.264/AVC Standard, Berlin Heidelberg, Germay: Springer-Verlag, 2011.
4 C. Shinya, H.264/AVC Textbook, Seoul, Korea: Hongrung Publishing Company, 2005.
5 Fraunhofer Heinrich-Hertz-Institut[Internet]. Available: http://www.hhi.fraunhofer.de/de/kompetenzfelder/image-processing/research-groups/image-video-coding/statistical -modeling-codi ng/fast-adapti ve-binary-arithmetic-coding-m-coder.html
6 P. S. Liu, J. W. Chen and Y.L. Lin, "A hardwired context-based adaptive binary arithmetic encoder for H.264 advanced video coding," IEEE International Symposium on VLSI Design, Vol. 1, pp. 1-4, Apr. 2007.
7 Impulse Point and Sate Connect Manages BYOD[Internet]. Available: http://www.impulse.com
8 D. Pellerin and S. Thibault, Practical FPGA programming in C, New Jersey, United States: Prentice Hall, 2005.
9 D. S. Wang, Hardware implementation of DCT and CAVLC for H.264/AVC based on co-design, M.S. thesis, Kwangwoon University, Seoul, Dec. 2010.
10 R. R. Osorio and J. D. Bruguera, "A new architecture for fast arithmetic coding in H.264 advanced video coder," in 8th Euromicro Conference on Digital System Design, Porto: Portugal, pp. 298-305, Sept. 2005.
11 J. L. Nunez-Yanez, V. A. Chouliaras, D. Alfonso, and F.S.Rovati, "Hardware assisted rate distortion optimization with embedded cabac accelerator for the H.264 advanced video codec," IEEE Transactions on Consumer Electronics, Vol. 52, No. 2, pp. 590-597, 2006.   DOI   ScienceOn
12 D. Marpe, H. Schwarz, and T. Wiegand, "Context-based adptive arithmetic coding in the H.264/AVC video compression standard," IEEE Transactions on Circuits and Systems for Video Technology, Vol. 13, No. 7, pp. 620-636, July 2003.   DOI   ScienceOn
13 B. Bross, High efficiency video coding text specification draft 10, JCT-VC of ISO/IEC and ITU-T, Geneva, Jan. 2003.
14 JVT G050r1, Draft ITU-T recommendation and final draft international standard of joint video specification, ITU-T, 14496-10, May. 2003.
15 D. G. Sim, Next generation video coding standard technique, IC Design Education Center Newsletter, pp. 04-09, July 2011.
16 D. Marpe and T. Wiegand, "A highly efficient multiplication-free binary arithmetic coder, and its application in video coding," in Proceedings IEEE International Conference on Image Processing 2003, Barcelona: Spain, Sept. 2003.
17 X. H.Tian, T. M.Le, X. Jiang, and Y. Lian, "A HW encoder with efficient context access scheme for H.264/AVC," IEEE International Symposium in Circuits and Systems, pp. 18-21, May 2008.
18 F. Zargari and E. Azimi, "An efficient hardware implementation for H.264 binary arithmetic encoder," in Proceedings of the 14th International Computer Society of Iran Computer Conference, Tehran: Iran, pp. 105-109, 2009.