Browse > Article

Design of a Low-Power Turbo Decoder Using Parallel SISO Decoders  

Lee, Hee-Jin (서강대학교 전자공학과 CAD & Embedded System 연구실)
Hwang, Sun-Young (서강대학교 전자공학과 CAD & Embedded System 연구실)
Abstract
Turbo code is popularly used for the reliable communication in the presence of burst errors. Even if it shows good error performance near to the Shannon limits, it requires a large amount of memories and exhibits long latency. This paper proposes an architecture for the low power implementation of the Turbo decoder adopting the Max-Log-Map algorithm. In the proposed design, two SISO decoders are designed to operate in parallel, and a novel interleaver is designed to prevent the collision of memory accesses by two SISO decoders. Experimental results show that power consumption has been reduced by about 40% in the proposed decoder compared to previous Turbo decoders. The area overhead due to the additional interleaver controller is negligible.
Keywords
터보 복호기;저전력 설계;
Citations & Related Records
연도 인용수 순위
  • Reference
1 C. Su, C. Tsui, and A. Despain, 'Low-Power Architecture Design and Compilation Technique for High Performance Processors,' in Proc. COMPCON'94, pp. 489-498, Feb. 1994
2 S. Hong and W. Stark, 'Design and Implementation of a Low Complexity VLSI Turbo-Code Decoder Architecture for Low Energy Mobile Wireless Communications,' Journal of VLSI Signal Processing Systems, Vol. 24, pp. 43-57, Feb. 2000   DOI   ScienceOn
3 S. Pietrobon, 'Efficient Implementation of Continuous MAP Decoders and a New Synchronisation Technique for Turbo Decoders,' in Proc. Int. Symp. Info. Theory and it's Applications, pp. 586-589, Victoria, BC, Canada, Sep. 1996
4 A. Giulietti, L. Perre, and M. Strum, 'Parallel Turbo Coding Interleavers: Avoiding Collisions in Accesses to Storage Elements,' Electronics Letters, IEE, Vol. 38, No.5, pp. 232-234, Feb. 2002   DOI   ScienceOn
5 이문호, '비동기식 IMT-2000의 채널 부호화,' 한국통신학회 학회지, 제14권, 9호, pp.170-187, 1996년 9월
6 K. Parhi, VLSI Digital Signal Processing Systems. Wiley-Interscience Pub., 1999
7 C. Berrou, A. Glavieux, and P. Thitimajshima, 'Near Shannon Limit Error-correcting Coding and Decoding: Turbo-Codes(I),' in Proc. ICC'93, Geneva, Switzerland, pp. 1064-1070, May 1993
8 Y. Rose, L. Shu, and P. Marc, 'Two Simple Stopping Criteria for Turbo Decoding,' IEEE Trans. Communications, Vol. 47, No.8, pp. 1117-1120, Aug. 1999   DOI   ScienceOn
9 S. Barbulescu and S. Pietrobon, 'Turbo Codes: A Tutorial on a New Class of Powerful Error Correcting Coding Schemes, Part2: Decoder Design and Performance,' Journal of Electrical & Electronics Engineering Australia Vol. 19, No.3, pp. 143-152, Sep. 1999
10 Z. Wang, H. Suzuki, and K. Parhi, 'VLSI Implementation Issues of Turbo Decoder Design for Wireless Applications,' in Proc. IEEE Workshop on Signal Processing Systems, Taipei, Taiwan, pp. 503-512, Oct. 1999
11 C. Shannon, 'A Mathematical Theory of Information,' Bell System Technical Journal, Vol. 27, pp. 379-423, July 1948
12 P. Jason and H. Lajos, 'Comparative Study of Turbo Decoding Techniques: An Overview,' IEEE Trans. Vehicular Technology, Vol. 49, No.6, pp. 2208-2238, Nov. 2000   DOI   ScienceOn