Browse > Article
http://dx.doi.org/10.5573/JSTS.2016.16.6.771

Extracting the K-most Critical Paths in Multi-corner Multi-mode for Fast Static Timing Analysis  

Oh, Deok-Keun (Department of Computer Science and Engineering, Sogang University)
Jin, Myeoung-Woo (Department of Computer Science and Engineering, Sogang University)
Kim, Ju-Ho (Department of Computer Science and Engineering, Sogang University)
Publication Information
JSTS:Journal of Semiconductor Technology and Science / v.16, no.6, 2016 , pp. 771-780 More about this Journal
Abstract
Detecting a set of longest paths is one of the crucial steps in static timing analysis and optimization. Recently, the process variation during manufacturing affects performance of the circuit design due to nanometer feature size. Measuring the performance of a circuit prior to its fabrication requires a considerable amount of computation time because it requires multi-corner and multi-mode analysis with process variations. An efficient algorithm of detecting the K-most critical paths in multi-corner multi-mode static timing analysis (MCMM STA) is proposed in this paper. The ISCAS'85 benchmark suite using a 32 nm technology is applied to verify the proposed method. The proposed K-most critical paths detection method reduces about 25% of computation time on average.
Keywords
Process variation; critical path; static timing analysis; path pruning; MCMM;
Citations & Related Records
연도 인용수 순위
  • Reference
1 S. Onaissi and F. N. Najm, "A linear-time approach for static timing analysis covering all process corners," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., Vol. 27, No. 7, pp. 1291-1304, Jul. 2008.   DOI
2 J. J. Nian, S. H. Tsai, and C. Y. Huang. "A unified multi-corner multi-mode static timing analysis engine," in ASP-DAC, pp. 669-674, 2010.
3 Predictive Technology Model, downloaded from http://ptm.asu.edu/.
4 N. R. Vempaty, V. Kumar and R. E. Korf, "Depthfirst vs best-first search," in: Proceedings AAAI-91, Anaheim, CA, 434-440, 1991
5 W. Zhang, State-Space Search: Algorithms, Complexity, Extensions, and Applications, Springer, New York, NY, 1999.
6 S. H. C. Yen, D. C. Du, and S. Ghanta, "Efficient Algorithms for extracting the K most critical paths in timing analysis," 26th ACM/IEEE Design Automation Conference, pp. 649-654, June 1989.
7 Y. C. Ju and R. A. Saleh, "Incremental techniques for the identification of statically sensitizable critical paths," in Proc. ACM/IEEE Design Automation Conf., pp. 541-546, 1991.
8 L. Liu, D. Du, and H.-C. Chen, “An efficient parallel critical path algorithm,” IEEE Trans. Computer-Aided Design Integr. Circuits Syst., Vol. 13, No. 7, pp. 909-919, Jul. 1994.   DOI
9 L. Xie and A. Davoodi, "Bound-based statisticallycritical path extraction under process variations," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., Vol. 30, No. 1, pp. 59-71, Jan. 2011.   DOI
10 K. Heloue et al., "Efficient block-based parameterized timing analysis covering all potentially critical paths," IEEE Trans. on CAD, Vol. 31, pp. 472-484, 2012.   DOI
11 H. Chang and S. S. Sapatnekar, "Statistical timing analysis considering spatial correlations using a single PERT-like traversal," in Proc. IEEE/ACM Int. Conf. Comput.-Aided Des., pp. 621-625, Nov. 2003.
12 W. Qiu and D. M. H. Walker, "An efficient algorithm for finding the k longest testable paths through each gate in a combinational circuit," in Proc. IEEE Int. Test Conf., pp. 592-601, Oct. 2003.
13 J. Bhasker and R. Chadha, Static Timing Analysis for Nanometer Designs: A Practical Approach (1st ed.), New York, NY: Springer Science & Business Media, 2009.
14 C. Visweswariah, K. Ravindran, K. Kalafala, S. Walker, and S. Narayan, "First-order incremental block-based statistical timing analysis" in Proc. Des. Autom. Conf., pp. 331-336, Jun. 2004.
15 S. V. Kumar et al., "A Framework for Block-Based Timing Sensitivity Analysis," in Proc. Des. Autom. Conf, pp. 688-693, Jun.2008.
16 L. M. Silveira and J. R. Phillips. "Efficient computation of the worst-delay corner," in Proc. Design Automation and Test in Europe, pp. 1617-1622, 2007.
17 H. Li Z. He T. Lv and X. Li. "Test path selection for capturing delay failures under statistical timing model," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., Vol. 21, No. 7, pp. 1210-1219, 2013.   DOI