Browse > Article
http://dx.doi.org/10.5573/JSTS.2016.16.1.011

Post-Silicon Tuning Based on Flexible Flip-Flop Timing  

Seo, Hyungjung (Department of Electrical Engineering and Computer Science, Seoul National University)
Heo, Jeongwoo (Department of Electrical Engineering and Computer Science, Seoul National University)
Kim, Taewhan (Department of Electrical Engineering and Computer Science, Seoul National University)
Publication Information
Abstract
Clock skew scheduling is one of the essential steps to be carefully performed during the design process. This work addresses the clock skew optimization problem integrated with the consideration of the inter-dependent relation between the setup and hold times, and clock to-Q delay of flip-flops, so that the time margin is more accurately and reliably set aside over that of the previous methods, which have never taken the integrated problem into account. Precisely, based on an accurate flexible model of setup time, hold time, and clock-to-Q delay, we propose a stepwise clock skew scheduling technique in which at each iteration, the worst slack of setup and hold times is systematically and incrementally relaxed to maximally extend the time margin. The effectiveness of the proposed method is shown through experiments with benchmark circuits, demonstrating that our method relaxes the worst slack of circuits, so that the clock period ($T_{clk}$) is shortened by 4.2% on average, namely the clock speed is improved from 369 MHz~2.23 GHz to 385 MHz~2.33 GHz with no time violation. In addition, it reduces the total numbers of setup and hold time violations by 27.7%, 9.5%, and 6.7% when the clock periods are set to 95%, 90%, and 85% of the value of Tclk, respectively.
Keywords
Clock skew scheduling; flexible flip-flop timing model; static timing analysis;
Citations & Related Records
연도 인용수 순위
  • Reference
1 H. Seo, J. Heo, and T. Kim, "Clock skew optimization for maximizing time margin by utilizing flexible flip-flop timing," IEEE International Symposium on Quality Electronic Design, pp. 35-39, March, 2015.
2 T. Okumura and M. Hashimoto, "Setup time, hold time and clock-to-Q delay computation under dynamic supply noise," in Proceedings of IEEE Custom Integrated Circuits Conference, pp. 1-4, September 2010.
3 Open cell library, http://www.nangate.com/openlibrary, 2009.
4 G. Rao and E. Howick, "Apparatus for optimized constraint characterization with degradation options and associated methods," June 24 2003, US Patent 6,584,598.
5 S. Srivastava and J. Roychowdhury, "Interdependent latch setup/hold time characterization via Euler-Newton curve tracing on state-transition equations," in Proceedings of ACM/IEEE Design Automation Conference, pp. 136-141, June, 2007.
6 S. Srivastava and J. Roychowdhury, "Independent and interdependent latch setup/hold time characterization via newton-raphson solution and euler curve tracking of state-transition equations," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 5, pp. 817-830, May 2008.   DOI
7 E. Salman, A. Dasdan, F. Taraporevala, K. Kucukcakar, and E. Friedman, "Pessimism reduction in static timing analysis using interdependent setup and hold times," in Proceedings of IEEE International Symposium on Quality Electronic Design, pp. 159-164, March, 2006.
8 E. Salman and E. G. Friedman, "Utilizing interdependent timing constraints to enhance robustness in synchronous circuits," Microelectronics Journal, vol. 43, no. 2, pp. 119-127, February 2012.   DOI
9 E. Salman, A. Dasdan, F. Taraporevala, K. Kucukcakar, and E. Friedman, "Exploiting setup-holdtime interdependence in static timing analysis," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 6, pp. 1114-1125, June 2007.   DOI
10 S. Hatami, H. Abrishami, and M. Pedram, "Statistical timing analysis of flip-flops considering codependent setup and hold times," in Proceedings of ACM Great Lakes Symposium on VLSI, pp.101-106, May, 2008.
11 K-H. Ho, X-W. Shih, and J-H. R. Jiang, "Clock rescheduling for timing engineering change orders," in Proceedings of IEEE Asia and South Pacific Design Automation Conference, pp. 517-522, February, 2012.
12 N. Chen, B. Li, and U. Schlichtmann, "Iterative timing analysis based on nonlinear and interdependent flipflop modelling," IET Circuits, Devices and Systems, vol. 6, no. 5, pp. 330-337, Sep. 2012.   DOI
13 A. Kahng and H. Lee, "Timing margin recovery with flexible flip-flop timing model," in Proceedings of IEEE International Symposium on Quality Electronic Design, pp. 496-503, March, 2014.
14 MATLAB, version 8.3.0.532 (R2014a), The MathWorks Inc., 2014.
15 MOSEK ApS , "The MOSEK C optimizer API manual Version 7.1 (Revision 32)," http://docs.mosek.com/7.1/capi/index.html, 2015.