Browse > Article
http://dx.doi.org/10.5573/JSTS.2014.14.5.649

A Performance Analysis for Interconnections of 3D ICs with Frequency-Dependent TSV Model in S-parameter  

Han, Ki Jin (School of Electrical and Computer Engineering, Ulsan National Institute of Science and Technology (UNIST))
Lim, Younghyun (School of Electrical and Computer Engineering, Ulsan National Institute of Science and Technology (UNIST))
Kim, Youngmin (School of Electrical and Computer Engineering, Ulsan National Institute of Science and Technology (UNIST))
Publication Information
JSTS:Journal of Semiconductor Technology and Science / v.14, no.5, 2014 , pp. 649-657 More about this Journal
Abstract
In this study, the effects of the frequency-dependent characteristics of through-silicon vias (TSVs) on the performance of 3D ICs are examined by evaluating a typical interconnection structure, which is composed of 32-nm CMOS inverter drivers and receivers connected through TSVs. The frequency-domain model of TSVs is extracted in S-parameter from a 3D electromagnetic (EM) method, where the dimensional variation effect of TSVs can be accurately considered for a comprehensive parameter sweep simulation. A parametric analysis shows that the propagation delay increases with the diameter and height of the TSVs but decreases with the pitch and liner thickness. We also investigate the crosstalk effect between TSVs by testing different signaling conditions. From the simulations, the worst signal integrity is observed when the signal experiences a simultaneously coupled transition in the opposite direction from the aggressor lines. Simulation results for nine-TSV bundles having regular and staggered patterns reveal that the proposed method can characterize TSV-based 3D interconnections of any dimensions and patterns.
Keywords
3D IC; through-silicon via (TSV); S-parameter; frequency-dependent; signal integrity (SI);
Citations & Related Records
연도 인용수 순위
  • Reference
1 I. Savidis and E. G. Friedman, "Closed-form expressions of 3-D via resistance, inductance, and capacitance", IEEE Transactions on Electron Devices, vol. 56, no. 9, pp. 1873-1881, 2009.   DOI   ScienceOn
2 ITRS 2012, [online], http://public.itrs.net.
3 S.Q. Gu, P. Marchal, M. Facchini, F. Wang, M. Suh, D. Lisk, and M. Nowak, "Stackable memory of 3D chip integration for mobile applications", IEEE IEDM, pp. 1-4, 2008.
4 D. H. Kim, S. Mukhopadhyay, and S. K. Lim, "TSV-aware interconnect length and power prediction for 3D stacked ICs", IEEE Interconnect Technology Conference, IITC, pp. 26-28, 2009.
5 K. J. Han, M. Swaminathan, and T. Bandyopadhyay, "Electromagnetic Modeling of Through-Silicon Via (TSV) Interconnections Using Cylindri-cal Modal Basis Functions", IEEE Transactions on Advanced Packaging, vol. 33, no. 4, pp. 804-817, 2010.   DOI   ScienceOn
6 K. J. Han and M. Swaminathan, "Inductance and resistance calculations in three-dimensional packaging using cylindrical conduction-mode basis functions", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 6, pp. 846-859, 2009.   DOI
7 X. Chuan, L. Hong, R. Suaya, and K. Banerjee, "Compact AC Modeling and Performance Analysis of Through-Silicon Vias in 3-D ICs", IEEE Transactions on Electron Devices, vol. 57, no. 12, pp. 3405-3417, 2010.   DOI
8 C. Bermond, L. Cadix, A. Farcy, T. Lacrevaz, P. Leduc, and B. Flechet, "High Frequency Characterization and Modeling of High Density TSV in 3D Integrated Circuits", IEEE Workshop on Signal Propagation on Interconnects, pp. 1-4, 2009.
9 J. Kim et al., "High-Frequency Scalable Electrical Model and Analysis of a Through Silicon Via (TSV)", IEEE Transactions on Components, packaging, and manufacturing technology, vol. 1, no. 2, pp. 181-195, 2011.   DOI   ScienceOn
10 G. Katti, et al., "Electrical modeling and characterization of through silicon via for threedimensional ICs", IEEE Transactions on Electron Devices, vol. 57, no. 1, pp. 256-262, 2010.   DOI   ScienceOn
11 T. Bandyopadhyay, R. Chatterjee, D. Chung, M. Swaminathan, and R. Tummala, "Electrical modeling of through silicon and package vias", IEEE International Conference on 3D System Integration, pp. 1-8, 2009.
12 V. D. Plas et al., "Design Issues and Considerations for Low-Cost 3-D TSV IC Technology", IEEE JSSC, vol. 46, no. 1, pp. 293-307, 2011.
13 H. V. Nguyen, M. Ryu, and Y. Kim, "TSV Geometrical Variations and Optimization Metric with Repeaters for 3D IC", IEICE Trans. on Electronics, vol. E95-C, no. 12, pp. 1864-1871, 2012.   DOI
14 J. Cho, et al. "Modeling and analysis of throughsilicon via (TSV) noise coupling and suppression using a guard ring", IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 1, no. 2, pp. 220-233, 2011.   DOI   ScienceOn
15 D. H. Kim, S. Mukhopadhyay, and S. K. Lim, "Fast and accurate analytical modeling of throughsilicon-via capacitive coupling", IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 1, no. 2, pp. 168-180, 2011.   DOI
16 G. Huang, M. Bakir, A. Naeemi, H. CHen, and J. D. Meindl, "Power Delivery for 3D Chip Stacks: Physical Modeling and Design Implication", IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 2, no. 5, pp. 852-859, May 2012.   DOI
17 HSPICE, ver. H-2013-03, [online], http://www.synopsys.com/
18 A. E. Ruehli, "Equivalent circuit models for three dimensional multi-conductor systems", IEEE TMTT, vol. MTT-22, pp. 216-221, 1974.
19 W. Zhao and Y. Cao, "New generation of Predictive Technology Model for sub-45nm early design exploration" IEEE Transactions on Electron Devices, vol. 53, no. 11, pp. 2816-2823, 2006.   DOI
20 32 nm HP PTM models, [online], http://ptm.asu.edu/
21 M. Jung, X. Liu, S. K. Sitaraman, D. Z Pan, and S. K. Lim, "Full-Chip Through-Silicon-Via Interfacial Crack Analysis and Optimization for 3D IC", IEEE ICCAD, pp. 563-570, Nov. 2011.
22 T. Sakurai, "Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs", IEEE Transactions on Electron Devices, vol. 40, no.1, pp. 118-124, 1993.   DOI   ScienceOn
23 D. H. Kim, Y.-K. Wu, R. O. Topaloglu, and S. K. Lim, "Enabling 3D Integration Through Optimal Topography", IEEE International Workshop on Design for Manufacturability and Yield, 2010.
24 S.W. Yoon et al., "3D TSV Micro Cu Column Chip-to-Substrate/ChipAssembly/Packaging Technology", In proceedings of the International Wafer Level Packaging Conference, Nov. 2012.