Browse > Article
http://dx.doi.org/10.5573/JSTS.2014.14.1.008

Analysis of Random Variations and Variation-Robust Advanced Device Structures  

Nam, Hyohyun (School of Electrical and Computer Engineering, University of Seoul)
Lee, Gyo Sub (School of Electrical and Computer Engineering, University of Seoul)
Lee, Hyunjae (School of Electrical and Computer Engineering, University of Seoul)
Park, In Jun (School of Electrical and Computer Engineering, University of Seoul)
Shin, Changhwan (School of Electrical and Computer Engineering, University of Seoul)
Publication Information
Abstract
In the past few decades, CMOS logic technologies and devices have been successfully developed with the steady miniaturization of the feature size. At the sub-30-nm CMOS technology nodes, one of the main hurdles for continuously and successfully scaling down CMOS devices is the parametric failure caused by random variations such as line edge roughness (LER), random dopant fluctuation (RDF), and work-function variation (WFV). The characteristics of each random variation source and its effect on advanced device structures such as multigate and ultra-thin-body devices (vs. conventional planar bulk MOSFET) are discussed in detail. Further, suggested are suppression methods for the LER-, RDF-, and WFV-induced threshold voltage (VTH) variations in advanced CMOS logic technologies including the double-patterning and double-etching (2P2E) technique and in advanced device structures including the fully depleted silicon-on-insulator (FD-SOI) MOSFET and FinFET/tri-gate MOSFET at the sub-30-nm nodes. The segmented-channel MOSFET (SegFET) and junctionless transistor (JLT) that can suppress the random variations and the SegFET-/JLT-based static random access memory (SRAM) cell that enhance the read and write margins at a time, though generally with a trade-off between the read and the write margins, are introduced.
Keywords
Random variation; variability; CMOS; MOSFET; FinFET; Tri-Gate MOSFET; junctionless MOSFET; FD-SOI MOSFET; SegFET;
Citations & Related Records
연도 인용수 순위
  • Reference
1 G. E. Moore, "Cramming more components onto integrated circuits," Proceeding of the IEEE, vol. 86, no. 1, pp. 82-85, Jan. 1998.
2 International Technology Roadmap for Semiconductors (ITRS). [Online]. Available: http://public.itrs.net
3 X. Sun, Q. Lu, V. Moroz, et al., "Tri-gate bulk MOSFET design for CMOS scaling to the end of the roadmap," IEEE Electron Device Letters, vol. 29, no. 5, pp. 491-493, May 2008.   DOI
4 M. J. M. Pelgrom, A. Duinmaijer, and A. Welbers, "Matching properties of MOS transistors," IEEE Journal of Solid-State Circuits, vol. 24, no. 5, pp. 1433-1440, Oct. 1989.   DOI   ScienceOn
5 K. J. Kuhn, "Reducing variation in advanced logic technologies: Approaches to process and design for manufacturability of nanoscale CMOS," in Proc. IEEE IEDM, Dec. 2007, pp. 471-474.
6 A. Asenov, S. Kaya, and A. R. Brown, "Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness," IEEE Transactions on Electron Devices, vol. 50, no. 5, pp. 1254-1260, May 2003.   DOI
7 A. Asenov, "Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 $\mu{m}$ MOSFETs: A 3-D "atomistic" simulation study," IEEE Transactions on Electron Devices, vol. 45, no. 12, pp. 2505-2513, Dec. 1998.   DOI   ScienceOn
8 C. Shin, C. H. Tsai, M. H. Wu, et al., "Quasi-planar bulk CMOS technology for improved SRAM scalability," Solid-State Electronics, vol. 65-66, pp. 184-190, Nov.-Dec. 2011.   DOI
9 I. J. Park and C. Shin, "Effect of double-patterning and double-etching on the line-edge-roughness of multi-gate bulk MOSFETs," IEICE Electronics Express, vol. 10, no. 5, pp. 20130108, Mar. 2013.   DOI
10 Y. Zhao, "Characterization of amorphous and crystalline rough surface: principles and applications," Academic Press, San Diego, 2001.
11 A. Asenov, "Simulation of statistical variability in nano MOSFETs," in Proc. VLSI Symp. Technol., Jun. 2007, pp. 86-87.
12 C. Shin, N. Damrongplasit, X. Sun, and T.-J. K. Liu, "Quasi-planar bulk CMOS technology for 6-T SRAM at the 22-nm node," IEEE Transactions on Electron Devices, vol. 58, no. 7, pp. 1846-1854, Jul. 2011.   DOI   ScienceOn
13 C. Shin, M. H. Cho, Y. Tsukamoto, et al., "Performance and area scaling benefits of FD-SOI technology for 6-T SRAM cells at the 22-nm node," IEEE Transactions on Electron Devices, vol. 57, no. 6, pp. 1301-1309, Jun. 2010.   DOI
14 C. Shin and I. J. Park, "Impact of using doublepatterning versus single patterning on threshold voltage (VTH) variation in quasi-planar tri-gate bulk MOSFETs," IEEE Electron Device Letters, vol. 34, no. 5, pp. 578-580, May 2013.   DOI   ScienceOn
15 K. Bernstein, D. J. Frank, A. E. Gattiker, et al., "High-performance CMOS variability in the 65-nm regime and beyond," IBM Journal of Research and Development, vol. 50, no. 4.5, pp. 433-449, Jul. 2006.   DOI
16 C. Shin, X. Sun, and T.-J. K. Liu, "Study of random-dopant-fluctuation (RDF) effects on the trigate bulk MOSFETs," IEEE Transactions on Electron Devices, vol. 56, no. 7, pp. 1538-1542, Jul. 2009.   DOI   ScienceOn
17 H.-S. Wong and Y. Taur, "Three-dimensional "atomistic" simulation of discrete random dopant distribution effects in sub-0.1 ${\mu}m$ MOSFETs," in Proc. IEEE IEDM, Dec. 1993, pp. 705-708.
18 Y. Li, S.-M. Yu, J.-R. Hwang, F.-L. Yang, "Discrete dopant fluctuations in 20-nm/15-nm-gate planar CMOS," IEEE Transactions on Electron Devices, vol. 55, no. 6, pp. 1449-1455, Jun. 2008.   DOI
19 C. Auth, C. Allen, A. Blattner, et al., "A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, selfaligned contacts and high density MIM capacitors," in Proc. VLSI Symp. Technol., Jun. 2012. pp. 131- 132.
20 D. Reid, C. Millar, G. Roy, S. Roy, and A. Asenov, "Analysis of threshold voltage distribution due to random dopants: A 100,000-sample 3-D simulation study," IEEE Transactions on Electron Devices, vol. 56, no. 10, pp. 2255-2263, Oct. 2009.   DOI
21 A. Khakifirooz, K. Cheng, T. Nagumo, et al., "Strain engineered extremely thin SOI (ETSOI) for high-performance CMOS," in Proc. VLSI Symp. Technol., Jun. 2012, pp. 117-118.
22 H. F. Dadgour, K. Endo, V. K. De and K. Banerjee, "Grain-orientation induced work function variation in nanoscale metal-gate transistors - Part I: modeling, analysis, and experimental validation," IEEE Transactions on Electron Devices, vol. 57, no. 10, pp. 2504-2514, Oct. 2010.   DOI
23 H. Nam and C. Shin, "Study of high-k/metal-gate work function variation in FinFET: the modified RGG concept," IEEE Electron Device Letters, vol. 34, no. 12, pp. 1560-1562, Dec. 2013.   DOI   ScienceOn
24 X. Wang, A. R. Brown, N. M. Idris, et al., "Statistical threshold-voltage variability in scaled decananometer bulk HKMG MOSFETs: a fullscale 3-D simulation scaling study," IEEE Transactions on Electron Devices, vol. 58, no. 8, pp. 2293-2301, Aug. 2011.   DOI
25 H. Nam and C. Shin, "Comparative study in workfunction variation: Gaussian vs. Rayleigh distribution for grain size," IEICE Electronics Express, vol. 10, no. 9, pp. 20130109, May 2013.   DOI
26 H. Nam and C. Shin, "Study of high-k/metal-gate work-function variation using Rayleigh distribution," IEEE Electron Device Letters, vol. 34, no. 4, pp. 532-535, Apr. 2013.   DOI   ScienceOn
27 H. Nam and C. Shin, "The design optimization and variation study of segmented-channel MOSFET using HfO2 or SiO2 trench isolation, " in Proc. IEEE VLSI-TSA, Apr. 2013, pp. 22-24.
28 K. Ohmori, T. Matsuki, D. Ishikawa, et al., "Impact of additional factors in threshold voltage variability of metal/high-k gate stacks and its reduction by controlling crystalline structure and grain size in the metal gates," in Proc. IEEE IEDM, Dec. 2008, pp. 409-412.
29 B. Ho, N. Xu, B. Wood, et al., "Segmented-channel $Si_{1−x}Ge_x/Si$ pMOSFET for improved ION and reduced variability," in Proc. VLSI Symp. Technol., Jun. 2012, pp.167-168.
30 B. Ho, N. Xu, B. Wood, et al., "Fabrication of $Si_{1−x}Ge_x/Si$ pMOSFETs Using Corrugated Substrates for Improved ION and Reduced Layout- Width Dependence," IEEE Trans. Electron Devices, vol.60, no.1, pp.153-158, Jan. 2013.   DOI   ScienceOn
31 C. H. Park, M. D. Ko, K. H. Kim, et al., "Electrical characteristics of 20-nm junctionless Si nanowire transistors," Solid-State Electronics, vol. 73, pp. 7- 10, Jul. 2012.   DOI
32 N. Sano, K. Matsuzawa, M. Mukai, and N. Nakayama, "Role of long-range and short-range coulomb potentials in threshold characteristics under discrete dopants in sub-0.1 um Si- MOSFETs," in Proc. IEEE IEDM, Dec. 2000, pp. 275-278.
33 J. P. Colinge, C. W. Lee, A. Afzalian, et al., "Nanowire transistors without junctions," Nature Nanotechnology, vol 5, pp. 225-229, Mar. 2010.   DOI   ScienceOn
34 M. Aldegunde, A. Martinez, and J. R. Barker, "Study of discrete doping induced variability in junctionless nanowire MOSFETs using dissipative quantum transport simulations," IEEE Electron Device Lett., vol. 33, no. 2, pp. 194-196, Feb. 2012.   DOI
35 R. H. Dennard, F. H. Gaensslen, V. L. Rideout, et al., "Design of ion-implanted MOSFET's with very small physical dimensions," IEEE Journal of Solid- State Circuits, vol. 9, no. 5, pp. 256-268, Oct. 1974.   DOI
36 G. Leung and C. O. Chui, "Variability impact of random dopant fluctuation on nanoscale junctionless FinFETs," IEEE Electron Device Lett., vol. 33, no. 6, pp 767 - 769, Jun. 2012.   DOI   ScienceOn
37 A. E. Carlson, "Device and circuit techniques for reducing variation in nanoscale SRAM," Ph. D. dissertation, Dept. EECS Univ. California Berkeley, Berkeley, CA, 2008.
38 Z. Guo, A. Carlson, L.-T. Pang, K. Duong, T.-J. K. Liu, and B. Nikolic, " Large-scale SRAM variability characterization in 45 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, no. 11, pp. 3174- 3192, Nov. 2009.   DOI
39 A. R. Brown, G. Roy, and A. Asenov, "Poly-Si- Gate-related variability in decananometer MOSFETs with conventional architecture," IEEE Transactions on Electron Devices, vol. 54, no. 11, pp. 3056-3063, Nov. 2007.   DOI
40 C. W. Lee, I. Ferain, A. Afzalian, et al., "Performance estimation of junctionless multigate transistors," Solid-State Electronics, vol. 54, issue 2, pp. 97-103, Feb. 2010.   DOI   ScienceOn
41 A. Asenov, G. Slavcheva, A. R. Brown, et al., "Increase in the random dopant induced threshold fluctuations and lowering in sub-100 nm MOSFETs due to quantum effects: a 3-D density-gradient simulation study," IEEE Transactions on Electron Devices, vol. 48, no. 4, pp. 722-729, Apr. 2001.   DOI