Browse > Article
http://dx.doi.org/10.5573/JSTS.2010.10.2.079

The Pulsed Id-Vg methodology and Its Application to the Electron Trapping Characterization of High-κ gate Dielectrics  

Young, Chadwin D. (SEMATECH)
Heh, Dawei (National Nano Device Laboratories)
Choi, Ri-No (Inha University)
Lee, Byoung-Hun (Gwangju Institute of Science and Technology)
Bersuker, Gennadi (SEMATECH)
Publication Information
Abstract
Pulsed current-voltage (I-V) methods are introduced to evaluate the impact of fast transient charge trapping on the performance of high-k dielectric transistors. Several pulsed I-V measurement configurations and measurement requirements are critically reviewed. Properly configured pulsed I-V measurements are shown to be capable of extracting such device characteristics as trap-free mobility, trap-induced threshold voltage shift (${\Delta}V_t$), as well as effective fast transient trap density. The results demonstrate that the pulsed I-V measurements are an essential technique for evaluating high-$\kappa$ gate dielectric devices.
Keywords
High-$\kappa$; pulse I-V; threshold voltage instability; fast transient charge trapping; mobility; bias temperature instability; hafnium; MOSFET;
Citations & Related Records

Times Cited By SCOPUS : 0
연도 인용수 순위
  • Reference
1 C. Shen, M. F. Li, X. P. Wang, Y. Yee-Chia, and D. L. Kwong, “A fast measurement technique of MOSFET $I_d-V_g$ characteristics,” IEEE Electron Device Letters, vol. 27, pp. 55-57, 2006.   DOI   ScienceOn
2 C. D. Young, Y. G. Zhao, M. Pendley, B. H. Lee, K. Matthews, J. H. Sim, R. Choi, G. A. Brown, R. W. Murto, and G. Bersuker, “Ultra-short pulse currentvoltage characterization of the intrinsic characteristics of high-kappa devices,” Jap. J. of Applied Physics Part 1-Regular Papers Short Notes & Review Papers, vol. 44, pp. 2437-2440, Apr 2005.   DOI
3 C. D. Young, Y. Zhao, D. Heh, R. Choi, B. H. Lee, and G. Bersuker, “Pulsed $I_d-V_g$ Methodology and Its Application to Electron-Trapping Characterization and Defect Density Profiling,” IEEE Transactions on Electron Devices, vol. 56, pp. 1322-1329, 2009.   DOI   ScienceOn
4 G. Bersuker, J. Sim, C. S. Park, C. D. Young, S. Nadkarni, C. Rino, and L. Byoung Hun, “Mechanism of Electron Trapping and Characteristics of Traps in $HfO_2$ Gate Stacks,” IEEE Transactions on Device and Materials Reliability, vol. 7, pp. 138-145, 2007.   DOI   ScienceOn
5 K. M. F. Shahil, M. N. Arafat, Q. D. M. Khosru, and M. R. Khan, “Study of charge trapping/detrapping mechanism in $SiO_2/HfO_2$ stack gate dielectrics considering two-way detrapping,” in International Workshop on Electron Devices and Semiconductor Technology, Beijing, China, 2007, pp. 117-120
6 X. Yu, M. Yu, and C. Zhu, “The role of Nitrogen on charge-trapping-induced Vth instability in HfAION high-K gate dielectric with metal and poly-Si gate electrodes,” IEEE Transactions on Electron Devices, vol. 54, pp. 1972-1977, 2007.   DOI   ScienceOn
7 Y. Zhao, C. D. Young, R. Choi, and B. H. Lee, “Pulsed characterization techniques capture high-k charge trapping behavior,” Semiconductor International, vol. 29, pp. 47-51, 2006.
8 Y. Zhao, C. D. Young, M. Pendley, K. Matthews, B. H. Lee, and G. A. Brown, “Effective Minimization of Charge Trapping in High-k Gate Dielectrics with an Ultra-Short Pulse Technique,” in Intl. Conf. on Solid State and Integrated Circuit Technology, 2004, p. 407.
9 W. Zhu, J.-P. Han, and T. P. Ma, “Mobility Measurements and Degradation Mechanisms of MOSFETs Made With Ultrathin High-k Dielectrics,” IEEE Transactions on Electron Devices, vol. 51, pp. 98-105, 2004.   DOI   ScienceOn
10 W. J. Zhu and T. P. Ma, “Temperature dependence of channel mobility in $HfO_2$-gated NMOSFETs,” IEEE Electron Device Letters, vol. 25, pp. 89-91, 2004.   DOI   ScienceOn
11 C. D. Young, D. Heh, A. Neugroschel, R. Choi, B. H. Lee, and G. Bersuker, “Electrical Characterization and Analysis Techniques for the High-k Era,” Microelectronics Reliability, vol. 47, pp. 479-488, 2007.   DOI   ScienceOn
12 P. D. Kirsch, J. H. Sim, S. C. Song, S. Krishnan, J. J. Peterson, H.-J. Li, M. Quevedo-Lopez, C. D. Young, R. Choi, N. Moumen, P. Majhi, Q. Wang, J. G. Ekerdt, G. Bersuker, and B. H. Lee, “Mobility Enhancement of High-${\kappa}$ Gate Stacks Through Reduced Transient Charging,” in 35th Annual European Solid-State Device Research Conference, Grenoble, France, 2005, pp. 367-370.
13 C. Y. Kang, R. Choi, S. C. Song, C. D. Young, G. Bersuker, B. H. Lee, and J. C. Lee, “Carrier recombination in high-k dielectrics and its impact on transient charge effects in high-k devices,” in Proceedings of the 44th Annual IEEE International Reliability Physics Symposium San Jose, CA, 2006.
14 C. Y. Kang, J. C. Lee, R. Choi, S. C. Song, C. D. Young, G. Bersuker, and B. H. Lee, “Transient bicarrier response in high-k dielectrics and its impact on transient charge effects in high-k complementary metal oxide semiconductor devices,” Applied Physics Letters, vol. 88, p. 162905, 2006.   DOI   ScienceOn
15 G. Reimbold, J. Mitard, M. Casse, X. Garros, C. Leroux, L. Thevenod, and F. Martin, “Electrical characterization of high K devices: Charges and traps effects on instability, reliability and mobility behaviour,” in 207th Electrochemical Society Meeting, 2005.
16 Y. Lu, S. Hall, O. Buiu, and J. F. Zhang, “Real-time observation of charging dynamics in hafnium silicate films using MOS capacitance transients,” Microelectronic Engineering, vol. 84, pp. 2390-2393, 2007.   DOI   ScienceOn
17 G. Puzzilli and F. Irrera, “Long time transients in hafnium oxide “ Microelectronic Engineering, vol. 84, pp. 2394-2397, 2007.   DOI   ScienceOn
18 M. Rafik, X. Garros, G. Ribes, G. Ghibaudo, C. Hobbs, A. Zauner, M. Muller, V. Huard, and C. Ouvard, “Impact of TiN metal gate on NBTI assessed by interface states and fast transient effect characterization,” in IEEE International Electron Devices Meeting Washington, DC, 2007, pp. 812-815.
19 G. Reimbold, J. Mitard, X. Garros, C. Leroux, G. Ghibaudo, and F. Martin, “Initial and PBTI-induced traps and charges in Hf-based oxides/TiN stacks “ Microelectronics Reliability, vol. 47, pp. 489-496 2007.   DOI   ScienceOn
20 K. M. F. Shahil, M. N. Arafat, Q. D. M. Khosru, and M. R. Khan, “Quantum mechanical modeling of charge trapping/detrapping phenomena in CMOS structures with high-K dielectric “ in 4th International Conference on Electrical and Electronics Engineering Mexico City, Mexico 2007, pp. 349-352.
21 R. O'Connor, G. Hughes, R. Degraeve, B. Kaczer, and T. Kauerauf, “Reliability of HfSiON gate dielectrics,” Semiconductor Science and Technology, vol. 20, pp. 68-71, Jan 2005.   DOI   ScienceOn
22 H. Wong, N. Zhan, K. L. Ng, M. C. Poon, and C. W. Kok, “Interface and oxide traps in high-kappa hafnium oxide films,” Thin Solid Films, vol. 462-63, pp. 96-100, Sep 2004.   DOI   ScienceOn
23 J. A. Felix, J. R. Schwank, D. M. Fleetwood, M. R. Shaneyfelt, and E. P. Gusev, “Effects of radiation and charge trapping on the reliability of high-kappa gate dielectrics,” Microelectronics Reliability, vol. 44, pp. 563-575, Apr 2004.   DOI   ScienceOn
24 K. Xiong, J. Robertson, and S. J. Clark, “Defect energy states in high-K gate oxides,” Physica Status Solidi B-Basic Solid State Physics, vol. 243, pp. 2071-2080, Jul 2006.   DOI   ScienceOn
25 S. Zafar, A. Kumar, E. Gusev, and E. Cartier, “Threshold voltage instabilities in high-${\kappa}$ gate dielectric stacks,” IEEE Transactions on Device and Materials Reliability, vol. 5, pp. 45-64, 2005.   DOI   ScienceOn
26 C.-T. Chan, C.-J. Tang, T. Wang, H. C.-H. Wang, and D. D. Tang, “Characteristics and physical mechanisms of positive bias and temperature stress-induced drain current degradation in HfSiON nMOSFETs,” IEEE Transactions on Electron Devices vol. 54, pp. 1340-1346, 2006.   DOI   ScienceOn
27 S. Hall, O. Buiu, and L. Yi, “Direct observation of anomalous positive charge and electron-trapping dynamics in high-k films using pulsed-MOS-capacitor measurements “ IEEE Transactions on Electron Devices, vol. 54, pp. 272-278, 2007.   DOI   ScienceOn
28 D. Heh, C. D. Young, and G. Bersuker, “Experimental Evidence of the Fast and Slow Charge Trapping/Detrapping Processes in High-k Dielectrics Subjected to PBTI Stress,” IEEE Electron Device Letters, vol. 29, pp. 180-182, 2008.   DOI   ScienceOn
29 S. A. Krishnan, J. J. Peterson, C. D. Young, G. Brown, R. Choi, R. Harris, J. H. Sim, P. Zeitzoff, P. Kirsch, J. Gutt, H. J. Li, K. Matthews, J. C. Lee, B. H. Lee, and G. Bersuker, “Dominant SILC mechanisms in HfO2/TiN gate nMOS and pMOS transistors,” in 43rd Annual IEEE Intl. Reliability Physics Symp. Proc, 2005, pp. 642-643.
30 B. H. Lee, C. D. Young, R. Choi, J. H. Sim, G. Bersuker, C. Y. Kang, R. Harris, G. A. Brown, K. Matthews, S. C. Song, N. Moumen, J. Barnett, P. Lysaght, K. S. Choi, H. C. Wen, C. Huffman, H. Alshareef, P. Majhi, S. Gopalan, J. J. Peterson, P. Kirsh, H.-J. Li, J. Gutt, M. Gardner, H. R. Huff, P. Zeitzoff, R. W. Murto, L. Larson, and C. Ramiller, “Intrinsic Characteristics of High-k Devices and Implications of Fast Transient Charging Effects (FTCE),” in IEEE Intl. Electron Devices Meeting Tech. Digest, 2004, pp. 859-862.
31 C. L. Cheng, K. S. Chang-Liao, C. H. Huang, and T. K. Wang, “Effects of HfOxNy gate-dielectric nitrogen concentration on the charge trapping properties of metal-oxide-semiconductor devices,” Japanese Journal of Applied Physics Part 2-Letters & Express Letters, vol. 43, pp. L1181-L1183, Sep 2004.   DOI   ScienceOn
32 J. A. Felix, M. R. Shaneyfelt, D. M. Fleetwood, J. R. Schwank, P. E. Dodd, E. P. Gusev, R. M. Fleming, and C. D'Emic, “Charge trapping and annealing in high-kappa gate dielectrics,” Ieee Transactions on Nuclear Science, vol. 51, pp. 3143-3149, Dec 2004.   DOI   ScienceOn
33 J. H. Kim, K. J. Choi, and S. G. Yoon, “Electrical and reliability characteristics of HfO2 gate dielectric treated in N-2 and NH3 plasma atmosphere,” Applied Surface Science, vol. 242, pp. 313-317, Apr 2005.   DOI   ScienceOn
34 Y. Liu, A. Shanware, L. Colombo, and R. Dutton, “Modeling of charge trapping induced thresholdvoltage instability in high-kappa gate dielectric FETs,” Ieee Electron Device Letters, vol. 27, pp. 489-491, Jun 2006.   DOI   ScienceOn
35 R. O'Connor, G. Hughes, R. Degraeve, and B. Kaczer, “Charge trapping in MOSFETs with HfSiON dielectrics during electrical stressing,” Microelectronic Engineering, vol. 77, pp. 302-309, Apr 2005.   DOI   ScienceOn
36 T. Kauerauf, R. Degraeve, E. Cartier, B. Govoreanu, P. Blomme, B. Kaczer, L. Pantisano, A. Kerber, and G. Groeseneken, “Towards understanding degradation and breakdown of $SiO_2$/high-k stacks,” in IEEE Intl. Electron Devices Meeting Tech. Digest, 2002, pp. 521-524.
37 C. D. Young, R. Choi, J. H. Sim, B. H. Lee, P. Zeitzoff, Y. Zhao, K. Matthews, G. A. Brown, and G. Bersuker, “Interfacial layer dependence of $HfSi_xO_y$ gate stacks on Vt instability and charge trapping using ultra-short pulse in characterization,” in 43rd Annual IEEE Intl. Reliability Physics Symp. Proc., 2005, pp. 75-79.
38 A. Kerber, E. Cartier, L. Pantisano, M. Rosmeulen, R. Degraeve, T. Kauerauf, G. Groeseneken, H. E. Maes, and U. Schwalke, “Characterization of the $V_T$ instability in $SiO_2/HfO_2$ gate dielectrics,” in 41st Annual IEEE Intl. Reliability Physics Symp. Proc., 2003, pp. 41-45.
39 A. Kerber, E. Cartier, L. A. Ragnarsson, M. Rosmeulen, L. Pantisano, R. Degraeve, Y. Kim, and G. Groeseneken, “Direct measurement of the inversion charge in MOSFETs: application to mobility extraction in alternative gate dielectrics,” in VLSI Symposium Technical Digest, 2003, pp. 159-160.
40 J. Mitard, X. Garros, L. P. Nguyen, C. Leroux, G. Ghibaudo, F. Martin, and G. Reimbold, “Largescale Time Characterization and Analysis of PBTI in $HfO_2$/Metal Gate Stacks,” in International Reliability Physics Symposium, 2006, pp. 174-178.
41 C. D. Young, D. Heh, S. V. Nadkarni, R. Choi, J. J. Peterson, J. Barnett, B. H. Lee, and G. Bersuker, “Electron Trap Generation in High-${\kappa}$ Gate Stacks by Constant Voltage Stress,” IEEE Transactions on Device and Materials Reliability, vol. 6, pp. 123-131, 2006.   DOI   ScienceOn
42 C. D. Young, S. Nadkarni, D. Heh, H. R. Harris, R. Choi, J. J. Peterson, J. H. Sim, S. A. Krishnan, J. Barnett, E. Vogel, B. H. Lee, P. Zeitzoff, G. A. Brown, and G. Bersuker, “Detection of Electron Trap Generation Due to Constant Voltage Stress on High-${\kappa}$ Gate Stacks,” in International Reliability Physics Symposium, 2006, pp. 169-173.
43 G. Bersuker, J. H. Sim, C. D. Young, R. Choi, B. H. Lee, P. Lysaght, G. A. Brown, P. Zeitzoff, M. Gardner, R. W. Murto, and H. R. Huff, “Effects of Structural Properties of Hf-Based Gate Stack on Transistor Performance,” in 2004 Spring Meeting of the Material Research Society, 2004, pp. 31-35.
44 R. Choi, C. D. Young, G. Bersuker, B. Hun Lee, and Y. Zhao, “Characterization and reliability measurement issues in devices with novel gate stack devices,” Thin Solid Films, vol. 504, pp. 223-226, 2006.   DOI   ScienceOn
45 G. Bersuker, J. H. Sim, C. D. Young, R. Choi, P. M. Zeitzoff, G. A. Brown, B. H. Lee, and R. W. Murto, “Effect of pre-existing defects on reliability assessment of high-${\kappa}$ gate dielectrics,” Microelectronics Reliability, vol. 44, pp. 1509-1512, Sep-Nov 2004.   DOI   ScienceOn
46 R. Choi, B. H. Lee, C. D. Young, J. H. Sim, and G. Bersuker, “Temperature effects of constant bias stress on n-channel FETs with Hf-based gate dielectric,” Jap. J. of Applied Physics Part 1-Regular Papers Short Notes & Review Papers, vol. 44, pp. 2201-2204, Apr 2005.   DOI
47 R. Choi, S. Rhee, J. C. Lee, B. H. Lee, and G. Bersuker, “Charge trapping and detrapping characteristics in hafnium silicate gate stack under static and dynamic stress,” IEEE Electron Device Letters, vol. 26, pp. 197-199, 2005.   DOI   ScienceOn
48 E. P. Gusev and C. P. D. Emic, “Charge detrapping in $HfO_2\;high-{\kappa}$ gate dielectric stacks,” Applied Physics Letters, vol. 83, pp. 5223-5225, 2003.   DOI   ScienceOn
49 H. R. Harris, R. Choi, B. H. Lee, C. D. Young, J. H. Sim, K. Mathews, P. Zeitzoff, P. Majhi, and G. Bersuker, “Comparison of NMOS and PMOS stress for determining the source of NBTI TiN/HfSiON devices,” in 43rd Annual IEEE Intl. Reliability Physics Symp. Proc., 2005, pp. 80-83.
50 T. Kauerauf, R. Degraeve, F. Crupi, B. Kaezer, G. Groeseneken, and H. Maes, “Trap generation and progressive wearout in thin HfSiON,” in 43rd Annual IEEE Intl. Reliability Physics Symp. Proc, 2005, pp. 45-49.
51 J. Robertson, K. Tse, D. Liu, and K. Xiong, “Oxygen vacancies in high-k oxides “Microelectronic Engineering, vol. 84, pp. 2028-2031, 2007.   DOI   ScienceOn
52 J. Robertson, K. Xiong, and S. J. Clark, “Band gaps and defect levels in functional oxides,” Thin Solid Films, vol. 496, pp. 1-7, 2006.   DOI   ScienceOn
53 K. Tse, D. Liu, K. Xiong, and J. Robertson, “Oxygen vacancies in high-k oxides,” Microelectronic Engineering, vol. 84, pp. 2028-2031, 2007.   DOI   ScienceOn
54 H.-C. Wen, H. R. Harris, C. D. Young, H. Luan, H. N. Alshareef, K. Choi, D.-L. Kwong, P. Majhi, G. Bersuker, and B. H. Lee, “On oxygen deficiency and fast transient charge-trapping effects in high-${\kappa}$ dielectrics,” IEEE Electron Device Letters, vol. 27, pp. 984-987, 2006.   DOI   ScienceOn
55 J. F. Zhang, C. Z. Zhao, M. B. Zahid, G. Groeseneken, R. Degraeve, and S. De Gendt, “An Assessment of the Location of As-Grown Electron Traps in $HfO_2$/HfSiO Stacks,” IEEE Electron Device Letters, vol. 27, pp. 817-820, 2006.   DOI   ScienceOn
56 R. Degraeve, F. Crupi, D. H. Kwak, and G. Groeseneken, “On the defect generation and low voltage extrapolation of QBD in $SiO_{2}/HfO_{2}$ stacks,” in 2004 VLSI Techology Symposium, 2004, pp. 140-141.
57 J. H. Sim, R. Choi, Y. H. Lee, C. Young, P. Zeitzoff, D. L. Kwong, and G. Bersuker, “Trapping/detrapping gate bias dependence of Hf-silicate dielectrics with poly and TiN gate electrode,” Jap. J. of Applied Physics Part 1-Regular Papers Short Notes & Review Papers, vol. 44, pp. 2420-2423, Apr 2005.   DOI
58 J. H. Sim, B. H. Lee, R. Choi, S. C. Song, and G. Bersuker, “Hot carrier degradation of HfSiON gate dielectrics with TiN electrode,” IEEE Transactions on Device and Materials Reliability, vol. 5, pp. 177-182, Jun 2005.   DOI   ScienceOn
59 G. Bersuker, J. H. Sim, C. S. Park, C. D. Young, S. Nadkarni, R. Choi, and B. H. Lee, “Intrinsic Threshold Voltage Instability of the $HfO_2$ NMOS Transistors,” in International Reliability Physics Symposium, 2006, pp. 179-183.
60 P. Broqvist and A. Pasquarello, “Oxygen vacancy in monoclinic $HfO_2$: A consistent interpretation of trap assisted conduction, direct electron injection, and optical absorption experiments,” Applied Physics Letters, vol. 89, p. 262904, 2006.   DOI   ScienceOn
61 P. Broqvist and A. Pasquarello, “First principles investigation of defects at interfaces between silicon and amorphous high-[kappa] oxides,” Microelectronic Engineering, vol. 84, pp. 2022-2027, 2007.   DOI   ScienceOn
62 E.-A. Choi and K. J. Chang, “Charge-transition levels of oxygen vacancy as the origin of device instability in $HfO_2$ gate stacks through quasiparticle energy calculations,” Applied Physics Letters, vol.94, p. 122901, 2009.   DOI   ScienceOn
63 J. L. Gavartin, D. M. Ramo, A. L. Shluger, G. Bersuker, and B. H. Lee, “Negative oxygen vacancies in $HfO_2$ as charge traps in high-k stacks,” Applied Physics Letters, vol. 89, p. 082908, 2006.   DOI   ScienceOn
64 M. Jo, H. Park, M. Chang, H.-S. Jung, J.-H. Lee, and H. Hwang, “Oxygen vacancy induced charge trapping and positive bias temperature instability in HfO2nMOSFET,” Microelectronic Engineering, vol. 84, pp. 1934-1937, 2007.   DOI   ScienceOn
65 D. M. Ramo, J. L. Gavartin, A. L. Shluger, and G. Bersuker, “Intrinsic and defect-assisted trapping of electrons and holes in $HfO_2$: an ab initio study,” Microelectronic Engineering, vol. 84, pp. 2362-2365, 2007.   DOI   ScienceOn
66 D. M. Ramo, J. L. Gavartin, A. L. Shluger, and G. Bersuker, “Spectroscopic properties of oxygen vacancies in monoclinic $HfO_2$ calculated with periodic and embedded cluster density functional theory,” Physical Review B (Condensed Matter and Materials Physics), vol. 75, p. 205336, 2007.   DOI   ScienceOn
67 G. Ribes, M. Rafik, and D. Roy, “Reliability issues for nano-scale CMOS dielectrics,” Microelectronic Engineering, vol. 84, pp. 1910-1916, 2007.   DOI   ScienceOn
68 D. M. Ramo, A. L. Shluger, J. L. Gavartin, and G. Bersuker, “Theoretical Prediction of Intrinsic Self-Trapping of Electrons and Holes in Monoclinic $HfO_2$,” Physical Review Letters, vol. 99, p. 155504, 2007.   DOI   ScienceOn
69 R. M. Wallace and G. D. Wilks, “Alternative Gate Dielectrics for Microelectronics,” in MRS Bulletin, 2002, pp. 186-191.
70 B. H. Lee, C. Young, R. Choi, J. H. Sim, and G. Bersuker, “Transient charging and relaxation in high-k gate dielectrics and their implications,” Jap. J. of Applied Physics Part 1-Regular Papers Short Notes & Review Papers, vol. 44, pp. 2415-2419, Apr 2005.   DOI
71 M. Denais, C. Parthasarathy, G. Ribes, Y. Rey-Tauriac, N. Revil, A. Bravaix, V. Huard, and F. Perrier, “On-the-fly characterization of NBTI in ultra-thin gate oxide PMOSFET's,” in IEEE Intl. Electron Devices Meeting Tech. Digest, 2004, pp. 109-112.
72 J. R. Hauser, “Extraction of experimental mobility data for MOS devices,” IEEE Transactions on Electron Devices, vol. 43, pp. 1981-1988, Nov 1996.   DOI   ScienceOn
73 G. Bersuker, B. H. Lee, and H. R. Huff, “Novel Dielectric Materials for Future Transistor Generations,” International Journal of High Speed Electronics and Systems, vol. 16, pp. 221-239, 2006.   DOI   ScienceOn
74 G. Bersuker, P. Zeitzoff, G. A. Brown, and H. R. Huff, “Dielectrics for Future Transistors,” Materials Today, pp. 26-33, 2004.
75 M. A. Negara, K. Cherkaoui, P. Majhi, C. D. Young, W. Tsai, D. Bauza, G. Ghibaudo, and P. K. Hurley, “The influence of HfO2 film thickness on the interface state density and low field mobility of n channel $HfO_2$/TiN gate MOSFETs,” Microelectronic Engineering, vol. 84, pp. 1874-1877, 2007.   DOI   ScienceOn
76 D. V. Singh, P. Solomon, E. P. Gusev, G. Singco, and Z. Ren, “Ultra-fast Measurements of the Inversion Charge in MOSFETs and Impact on Measured Mobility in High-k MOSFETs,” in IEEE Intl. Electron Devices Meeting Tech. Digest, San Francisco, CA, 2004, pp. 863-866.
77 J. R. Hauser and K. Ahmed, “Characterization of Ultrathin Oxides Using Electrical C-V and I-V Measurements,” in Intl. Conf. on Characterization and Metrology for ULSI Technology, 1998, pp. 235-239.
78 C. D. Young, P. Zeitzoff, G. Bersuker, and R. Choi, “Comparison of Trap-free Mobility Extraction Techniques for High-${\kappa}$ Gate Dielectrics,” in International Workshop on Electrical Characterization and Reliability for High-${\kappa}$ Devices, 2004, pp. 73-81.
79 T. Yamaguchi, R. Iijima, T. Ino, A. Nishiyama, H. Satake, and N. Fukushima, “Additional Scattering Effects for Mobility Degradation in Hf-silicate Gate MISFETs,” in IEEE Intl. Electron Devices Meeting Tech. Digest, 2002, pp. 621-624.
80 G. Bersuker, P. Zeitzoff, J. Barnett, N. Moumen, B. Foran, C. D. Young, J. J. Peterson, and P. Lysaght, “Interface-Induced Mobility Degradation in High-k Transistors,” Japanese Journal of Applied Physics, vol. 43, pp. 7899-7902, 2004.   DOI
81 R. Choi, S. C. Song, C. D. Young, G. Bersuker, and B. H. Lee, “Charge trapping and detrapping characteristics in hafnium silicate gate dielectric using an inversion pulse measurement technique,” Applied Physics Letters, vol. 87, p. 122901, Sep 2005.   DOI   ScienceOn
82 C. Shen, T. Yang, M. F. Li, X. Wang, C. E. Foo, G. S. Samudra, Y. C. Yeo, and D. L. Kwong, “Fast Vth Instability in $HfO_2$ Gate Dielectric MOSFETs and Its Impact on Digital Circuits,” IEEE Transactions on Electron Devices, vol. 53, pp. 3001-3011, 2006.   DOI   ScienceOn
83 G. Bersuker, P. Zeitzoff, J. H. Sim, B. H. Lee, R. Choi, G. A. Brown, and C. D. Young, “Mobility Evaluation in High-K Devices,” in IEEE Intl. Integrated Reliability Workshop Final Report, 2004, pp. 141-144.
84 G. Bersuker, J. Barnett, N. Moumen, B. Foran, C. D. Young, P. Lysaght, J. Peterson, B. H. Lee, P. M. Zeitzoff, and H. R. Huff, “Interfacial layer-induced mobility degradation in high-k transistors,” Jap. J. of Applied Physics Part 1-Regular Papers Short Notes & Review Papers, vol. 43, pp. 7899-7902, Nov 2004.   DOI
85 G. Bersuker, C. S. Park, J. Barnett, P. S. Lysaght, P. D. Kirsch, C. D. Young, R. Choi, B. H. Lee, B. Foran, K. v. Benthem, S. J. Pennycook, P. M. Lenahan, and J. T. Ryan, “The effect of interfacial layer properties on the performance of Hf-based gate stack devices,” Journal of Applied Physics, vol. 100, p. 094108, 2006.   DOI   ScienceOn
86 G. Bersuker, P. Zeitzoff, J. H. Sim, B. H. Lee, R. Choi, G. Brown, and C. D. Young, “Mobility evaluation in transistors with charge-trapping gate dielectrics,” Applied Physics Letters, vol. 87, p. 042905, Jul 2005.   DOI   ScienceOn
87 C. D. Young, A. Kerber, T. H. Hou, E. Cartier, G. A. Brown, G. Bersuker, Y. Kim, J. Gutt, P. Lysaght, J. Bennett, C. H. Lee, S. Gopalan, M. Gardner, P. M. Zeitzoff, G. Groeseneken, R. W. Murto, and H. R. Huff, “Charge Trapping and Mobility Degradation in MOCVD Hafnium Silicate Gate Dielectric Stack Structures,” in 203rd Fall Meeting of the Electrochemical Society, Physics and Technology of High-K Gate Dielectrics - II, Orlando, FL, 2003, pp. 347-362.
88 R. G. Southwick and W. B. Knowlton, “Stacked Dual Oxide MOS Energy Band Diagram Visual Representation Program,” IEEE Transactions on Device and Materials Reliability, vol. 6, pp. 136-145, 2006.   DOI   ScienceOn
89 M. A. Negara, K. Cherkaoui, P. K. Hurley, C. D. Young, P. Majhi, W. Tsai, D. Bauza, and G. Ghibaudo, “Analysis of electron mobility in $HfO_2$/TiN gate metal-oxide-semiconductor field effect transistors: The influence of $HfO_2$ thickness, temperature, and oxide charge,” Journal of Applied Physics, vol. 105, p. 024510, 2009.   DOI   ScienceOn
90 G. Ribes, J. Mitard, M. Denais, S. Bruyere, F. Monsieur, C. Parthasarathy, E. Vincent, and G. Ghibaudo, “Review on $high-{\kappa}$ dielectrics reliability issues,” IEEE Transactions on Device and Materials Reliability, vol. 5, pp. 5-19, 2005.   DOI   ScienceOn
91 A. Kerber, E. Cartier, L. Pantisano, R. Degraeve, T. Kauerauf, Y. Kim, A. Hou, G. Groeseneken, H. E. Maes, and U. Schwalke, “Origin of the threshold voltage instability in $SiO_2/HfO_2$ dual layer gate dielectrics,” IEEE Electron Device Letters, vol. 24, pp. 87-89, Feb 2003.   DOI   ScienceOn
92 T. Yang, M. F. Li, C. Shen, C. H. Ang, Z. Chunxiang, Y. C. Yeo, G. Samudra, S. C. Rustagi, and M. B. Yu, “Fast and slow dynamic NBTI components in p-MOSFET with SiON dielectric and their impact on device life-time and circuit application,” in VLSI Symposium Technical Digest, 2005, pp. 92-93.
93 R. Degraeve, A. Kerber, P. Roussell, E. Cartier, T. Kauerauf, L. Pantisano, and G. Groeseneken, “Effect of bulk trap density on $HfO_2$ reliability and yield,” in IEEE Intl. Electron Devices Meeting Tech. Digest, 2003, pp. 935-938.
94 D. Heh, R. Choi, and G. Bersuker, “Comparison of On-The-Fly, DC $I_d-V_g$, and Single-Pulse Methods for Evaluating Threshold Voltage Instability in High-${\kappa}$ nMOSFETs,” IEEE Electron Device Letters, vol. 28, pp. 245-247, 2007.   DOI   ScienceOn
95 D. Heh, R. Choi, C. D. Young, B. H. Lee, and G. Bersuker, “A Novel Bias Temperature Instability Characterization Methodology for High-${\kappa}$ nMOSFETs,” IEEE Electron Device Letters, vol. 27, pp. 849-851, 2006.   DOI   ScienceOn
96 B. H. Lee, R. Choi, J. H. Sim, S. A. Krishnan, J. J. Peterson, G. A. Brown, and G. Bersuker, “Validity of constant voltage stress based reliability assessment of high-${\kappa}$ devices,” IEEE Transactions on Device and Materials Reliability, vol. 5, pp. 20-25, Mar 2005.   DOI   ScienceOn
97 C. D. Young, G. Bersuker, G. A. Brown, P. Lysaght, P. Zeitzoff, R. W. Murto, and H. R. Huff, “Charge trapping and device performance degradation in MOCVD hafnium-based gate dielectric stack structures,” in 42nd Annual IEEE Intl. Reliability Physics Symp. Proc, 2004, pp. 597-598.
98 G. Ribes, M. Muller, S. Bruyere, D. Roy, M. Denais, V. Huard, T. Skotnicki, and G. Ghibaudo, “Characterization of Vt instability in hafnium based dielectrics by pulse gate voltage techniques,” in European Solid-State Device Research Conference, 2004, pp. 89-92.
99 L. Pantisano, E. Cartier, A. Kerber, R. Degraeve, M. Lorenzini, M. Rosmeulen, G. Groeseneken, and H. E. Maes, “Dynamics of threshold voltage instability in stacked high-k dielectrics: role of the interfacial oxide,” in VLSI Symposium Technical Digest, 2003, pp. 163-164.
100 C. D. Young, P. Zeitzoff, G. A. Brown, G. Bersuker, L. Byoung Hun, and J. R. Hauser, “Intrinsic mobility evaluation of high-${\kappa}$ gate dielectric transistors using pulsed $I_d-V_g$,” IEEE Electron Device Letters, vol. 26, pp. 586-589, 2005.   DOI   ScienceOn
101 K. A. Jenkins, J. Y.-C. Sun, and J. Gautier, “Characteristics of SOI FET's under pulsed conditions,” IEEE Transactions on Electron Devices, vol. 44, pp. 1923-1930, 1997.   DOI   ScienceOn
102 D. Heh, C. D. Young, R. Choi, and G. Bersuker, “Extraction of the Threshold Voltage Shift by the Single Pulse Technique,” IEEE Electron Device Letters, vol. 28, pp. 734-736, 2007.   DOI   ScienceOn
103 S. C. Song, Z. Zhang, C. Huffman, S. H. Bae, J. H. Sim, P. Kirsch, P. Majhi, N. Moumen, and B. H. Lee, “Integration issues of high-k and metal gate into conventional CMOS technology,” Thin Solid Films vol. 504, pp. 170-173, 2006.   DOI   ScienceOn
104 A. Morioka, H. Watanabe, M. Miyamura, T. Tatsumi, M. Saitoh, T. Ogura, T. Iwamoto, T. Ikarashi, Y. Saito, Y. Okada, Y. Mochiduki, and T. Mogami, “High mobility MISFET with low trapped charge in HfSiO films,” in VLSI Symposium Technical Digest, 2003, pp. 165-166.
105 M. A. Quevedo-Lopez, S. A. Krishnan, P. D. Kirsch, H.-J. Li, J. H. Sim, C. Huffman, J. J. Peterson, B. H. Lee, G. Pant, B. E. Gnade, M. J. Kim, R. M. Wallace, D. Guo, H. Bu, and T. P. Ma, “High Performance Gate First HfSiON Dielectric Satisfying 45 nm Node Requirements,” in IEEE Intl. Electron Devices Meeting Tech. Digest, 2005, pp. 437-440.
106 J. H. Sim, S. C. Song, P. D. Kirsch, C. D. Young, R. Choi, D. L. Kwong, B. H. Lee, and G. Bersuker, “Effects of ALD HfO2 thickness on charge trapping and mobility,” Microelectronic Engineering, vol. 80, pp. 218-221, Jun 2005.   DOI   ScienceOn
107 C. Leroux, J. Mitard, G. Ghibaudo, X. Garros, G. Reimbold, B. Guillaumor, and F. Martin, “Characterization and modeling of hysteresis phenomena in high K dielectrics,” in IEEE Intl. Electron Devices Meeting Tech. Digest, 2004, pp. 737-740.