Browse > Article
http://dx.doi.org/10.6109/jicce.2015.13.3.180

Full-Chip Power/Performance Benefits of Carbon Nanotube-Based Circuits  

Song, Taigon (School of Electrical and Computer Engineering, Georgia Institute of Technology)
Lim, Sung Kyu (School of Electrical and Computer Engineering, Georgia Institute of Technology)
Abstract
As a potential alternative to the complementary metal-oxide semiconductor (CMOS) technology, many researchers are focusing on carbon-nanotube field-effect transistors (CNFETs) for future electronics. However, existing studies report the advantages of CNFETs over CMOS at the device level by using small-scale circuits, or over outdated CMOS technology. In this paper, we propose a methodology of analyzing CNFET-based circuits and study its impact at the full-chip scale. First, we design CNFET standard cells and use them to construct large-scale designs. Second, we perform parasitic extraction of CNFET devices and characterize their timing and power behaviors. Then, we perform a full-chip analysis and show the benefits of CNFET over CMOS in 45-nm and 20-nm designs. Our full-chip study shows that in the 45-nm design, CNFET circuits achieve a 5.91×/3.87× (delay/power) benefit over CMOS circuits at a density of 200 CNTs/µm. In the 20-nm design, CNFET achieves a 6.44×/3.01× (delay/power) benefit over CMOS at a density of 200 CNTs/µm.
Keywords
Carbon nanotube FET; Circuits; Full-chip; VLSI;
Citations & Related Records
연도 인용수 순위
  • Reference
1 J. Deng and H. S. P. Wong, “A compact SPICE model for carbon-nanotube field-effect transistors including nonidealities and its application. Part I: Model of the intrinsic channel region,” IEEE Transactions on Electron Devices, vol. 54, no. 12, pp. 3186-3194, 2007.   DOI
2 G. Lopez, J. Davis, and J. Meindl, "A new physical model and experimental measurements of copper interconnect resistivity considering size effects and line-edge roughness (LER)," in Proceedings of IEEE International Interconnect Technology Conference (IITC2009), Sapporo, Japan, pp. 231-234, 2009.
3 M. Shulaker, J. Van Rethy, G. Hills, H. Chen, G. Gielen, H. S. P. Wong, and S. Mitra, "Experimental demonstration of a fully digital capacitive sensor interface built entirely using carbon-nanotube FETs," in Proceedings of 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, pp. 112-113, 2013.
4 N. Patil, J. Deng, S. Mitra, and H. S. P. Wong, “Circuit-level performance benchmarking and scalability analysis of carbon nanotube transistor circuits,” IEEE Transactions on Nanotechnology, vol. 8, no. 1, pp. 37-45, 2009.   DOI
5 S. Paulson, A. Helser, M. B. Nardelli, R. M. Taylor, M. Falvo, R. Superfine, and S. Washburn, “Tunable resistance of a carbon nanotube-graphite interface,” Science, vol. 290, no. 5497, pp. 1742-1744, 2000.   DOI
6 Y. Chai, A. Hazeghi, K. Takei, H. Y. Chen, P. C. Chan, A. Javey, and H. S. P. Wong, “Low-resistance electrical contact to carbon nanotubes with graphitic interfacial layer,” IEEE Transactions on Electron Devices, vol. 59, no. 1, pp. 12-19, 2012.   DOI
7 N. Patil, A. Lin, J. Zhang, H. Wei, K. Anderson, H. S. P. Wong, and S. Mitra, "VMR: VLSI-compatible metallic carbon nanotube removal for imperfection-immune cascaded multi-stage digital logic circuits using Carbon Nanotube FETs," in Proceedings of IEEE International Electron Devices Meeting (IEDM), Baltimore, MD, pp. 1-4, 2009.
8 H. Wei, N. Patil, J. Zhang, A. Lin, H. Y. Chen, H. S. P. Wong, and S. Mitra, "Efficient metallic carbon nanotube removal readily scalable to wafer-level VLSI CNFET circuits," in Proceedings of 2010 Symposium on VLSI Technology (VLSIT), Honolulu, HI, pp. 237-238, 2010.
9 J. Deng and H. S. P. Wong, “A compact SPICE model for carbonnanotube field-effect transistors including nonidealities and its application. Part II: Full device model and circuit performance benchmarking,” IEEE Transactions on Electron Devices, vol. 54, no. 12, pp. 3195-3205, 2007.   DOI
10 A. D. Franklin, M. Luisier, S. J. Han, G. Tulevski, C. M. Breslin, L. Gignac, M. S. Lundstrom, and W. Haensch, “Sub-10 nm carbon nanotube transistor,” Nano Letters, vol. 12, no. 2, pp. 758-762, 2012.   DOI
11 N. Patil, A. Lin, J. Zhang, H. Wei, K. Anderson, H. S. P. Wong, and S. Mitra, “Scalable carbon nanotube computational and storage circuits immune to metallic and mispositioned carbon nanotubes,” IEEE Transactions on Nanotechnology, vol. 10, no. 4, pp. 744-750, 2011.   DOI
12 J. Zhang, S. Bobba, N. Patil, A. Lin, H. S. P. Wong, G. De Micheli, and S. Mitra, "Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement," in Proceedings of the 47th Design Automation Conference (DAC2010), Anaheim, CA, pp. 889-892, 2010.
13 M. M. Shulaker, G. Hills, N. Patil, H. Wei, H. Y. Chen, H. S. P. Wong, and S. Mitra, “Carbon nanotube computer,” Nature, vol. 501, no. 7468, pp. 526-530, 2013.   DOI
14 N. Patil, A. Lin, J. Zhang, H. S. P. Wong, and S. Mitra, "Digital VLSI logic technology using Carbon Nanotube FETs: frequently asked questions," in Proceedings of the 46th Annual Design Automation Conference (DAC2009), San Francisco, CA, pp. 304-309, 2009.
15 PTM LP 45 nm [Internet], Available: http://ptm.asu.edu/.
16 FinFET 20 nm [Internet], Available: http://ptm.asu.edu/.
17 CNFET model [Internet], Available: https://nano.stanford.edu/stanford-cnfet-model.
18 S. I. Sayed, M. M. Abutaleb, and Z. B. Nossair, “Performance optimization of logic circuits based on hybrid CMOS and CNFET design,” International Journal of Recent Technology and Engineering, vol. 1, no. 6, pp. 1-4, 2013.