Browse > Article
http://dx.doi.org/10.5370/JEET.2016.11.5.1289

A Modeling Approach for Energy Saving Based on GA-BP Neural Network  

Li, Junke (College of Computer Science, Sichuan University, China.)
Guo, Bing (Corresponding Author: College of Computer Science, Sichuan University, China.)
Shen, Yan (School of Control Engineering, Chengdu University of Information Technology, China.)
Li, Deguang (College of Computer Science, Sichuan University, China.)
Huang, Yanhui (College of Computer Science, Sichuan University, China.)
Publication Information
Journal of Electrical Engineering and Technology / v.11, no.5, 2016 , pp. 1289-1298 More about this Journal
Keywords
Energy saving; Model; Software runtime characteristics; GA-BP neural network; DVFS;
Citations & Related Records
연도 인용수 순위
  • Reference
1 K.Choi, R.Soma, M.Pedram, “Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems., vol. 24, no. 1, pp. 18-28, Jan.2005.   DOI
2 T. Horvath, T. Abdelzaher, K. Skadron, et al. “Dynamic Voltage Scaling in Multitier Web Servers with End-to-End Delay Control,” IEEE Trans. Computers., vol. 56, no. 4, pp. 444-458, Apr. 2007.   DOI
3 J.Zhan, N.Stoimenov, J.Ouyang, et al, “Optimizing the NoC Slack Through Voltage and Frequency Scaling in Hard Real-Time Embedded Systems,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems., vol. 33, pp. 1632-1643, Nov. 2014.   DOI
4 Z. Zong, A. Manzanares, X. Ruan, et al. “EAD and PEBD: two energy-aware duplication scheduling algorithms for parallel tasks on homogeneous clusters,” IEEE Trans.Computers., vol. 60, no. 3, pp. 360-374, Mar.2011.   DOI
5 Q. Wu, M. Martonosi, D. W. Clark, et al, “A dynamic compilation framework for controlling microprocessor energy and performance,” in Proc.micro, 2005, pp. 271-282.
6 R. Kotla, S. Ghiasi, T. Keller, et al, “Scheduling Processor Voltage and Frequency in Server and Cluster Systems,” in Proc.IPDPS, 2005.
7 Z.Zhang, J.M.Chang, “A cool scheduler for multicore systems exploiting program phases,” IEEE Trans. Computers., vol. 63, no. 5, pp. 1061-1073, May. 2014.   DOI
8 X.Chen, C.Xu, R.P. Dick, “Memory access aware online voltage control for performance and energy optimization,” in Proc.ICCAD, 2010, pp. 365-372.
9 Top 500 Supercomputer Sites Webpage, November 2015. http://www.top500.org.
10 V. Hanumaiah and S. Vrudhula, “Temperature-aware DVFS for hard real-time applications on multicore processors,” IEEE Trans.Computers., vol. 61, no. 10, pp. 1484-1494, Oct.2012.   DOI
11 S. Ryoo, CI. Rodrigues, S. S. Baghsorkhi, et al. “Optimization principles and application performance evaluation of a multithreaded GPU using CUDA,” In ACM Proc. PPoPP, 2008, pp. 73-82.
12 A. Sarajedini, R. Hecht-Nielson, “The best of both worlds: Casasent networks integrate multilayer perceptrons and radial basis functions,” in Proc.IJCNN, 1992, pp. 905-910.
13 H. Nagasaka, N. Maruyama, A. Nukada, et al, “Statistical power modeling of GPU kernels using performance counters,” in Proc. Green Computing, 2010, pp. 115-122.
14 J. Kim, S. Yoo, C. M. Kyung, “Program phase-aware dynamic voltage scaling under variable computational workload and memory stall environment,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems., vol. 30, no. 1, pp. 110-123, Jan.2011.   DOI
15 S. Kim, H. Eom, HY. Yeom, et al, “Energy-centric DVFS controlling method for multi-core platforms,” Computing, vol. 96, no. 12, pp. 1163-1177, Dec.2014.   DOI
16 G. Dhiman and T. S. Rosing, “Dynamic voltage frequency scaling for multi-tasking systems using online learning,” In Proc. ISLPED, 2007, pp. 207-212.
17 K. Li, X. Tang, K. Li, “Energy-efficient stochastic task scheduling on heterogeneous computing systems,” IEEE Trans. Parallel and Distributed Systems., vol. 25, no. 11, pp. 2867-2876, Nov.2014.   DOI
18 H. David, C. Fallin, E. Gorbatov, et al, “Memory power management via dynamic voltage/frequency scaling,” In Proc.ICAC, 2011, pp. 31-40.
19 Q. Deng, L. Ramos, R. Bianchini, et al, “Active lowpower modes for main memory with memscale,” IEEE Micro., vol. 32, no. 3, pp. 60-69, May/June. 2012.   DOI
20 S.Williams, A.Waterman, D.Patterson, “Roofline: an insightful visual performance model for multicore architectures,” ACM. Commun., vol. 52, no. 4, pp. 65-76, Apr.2009.   DOI
21 Intel Corp., Intel-64 and IA-32 Architectures Software Developers Manual, vol. 3B: System Programming Guide, Part 2, Nov. 2008.