Browse > Article
http://dx.doi.org/10.5573/ieie.2014.51.7.103

Performance-aware Dynamic Thermal Management by Adaptive Vertical Throttling in 3D Network-on-Chip  

Hwang, Junsun (College of Information & Communication Engineering, Sungkyunkwan University)
Han, Tae Hee (College of Information & Communication Engineering, Sungkyunkwan University)
Publication Information
Journal of the Institute of Electronics and Information Engineers / v.51, no.7, 2014 , pp. 103-110 More about this Journal
Abstract
Recent TSV based 3D Integrated Circuit (IC) technology needs more powerful thermal management techniques. However, because cooling cost and form factor are restricted, thermal management are emphasis on software based techniques. But in case of throttling thermal management which one of the most candidate technique, increasing bus occupation induce total performance decrease. To solve communication bottleneck issue in TSV based 3D SoC, we proposed adaptive throttling technique Experimental results show that the proposed method can improve throughput by about 72% compare with minimal path routing.
Keywords
3D NoC; Dynamic Thermal Management; Vertical Throttling; TCU;
Citations & Related Records
연도 인용수 순위
  • Reference
1 S.W. Chung and K. Skadron, "A Novel Software Solution for Localized Thermal Problems," Proc. Fourth Int'l Symp. Parallel and Distributed Processing and Applications (ISPA), pp. 63-74, Dec. 2006.
2 Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar, " A 5-GHz Mesh Interconnect for A Teraflops Processor", IEEE MICRO, vol. 27, pp. 51-61, 2007.   DOI   ScienceOn
3 W. Huang, M.R. Stan, K. Skadron, K. Sankaranarayanan, and S. Ghosh, "HotSpot: A Compact Thermal Modeling Method for CMOS VLSI Systems," IEEE Trans. Very Large Scale Integration Systems, vol. 14, no. 5, pp. 501-513, May 2006.   DOI   ScienceOn
4 Noxim: network-on-chip simulator [Online]. Available: http://sourceforge.net/projects/noxim/
5 K.-Y. Jheng, C.-H. Chao, H.-Y. Wang, and A.-Y. Wu, "Traffic-Thermal Mutual-Coupling Co-Simulation Platform for Three-Dimensional Network-on-Chip," in Proc. IEEE Intl. Symp. on VLSI Design, Automation, and Test (VLSI-DAT'10), Apr. 2010.
6 J. Kong, S.W. Chung, and K. Skadron, "Recent Thermal Management Techniques for Microprocessors," ACM Computing Surveys, 2011.
7 C.H. Chao et al., "Transport Layer Assisted Routing for Run-Time Thermal Management of 3D NoC Systems," to be appeared in ACM Transactions on Embedded Computing Systems, 2011.
8 T. Wegner et al., "Impact of Proactive Temperature Management on Performance of Networks-on-Chip," Int'l Symp. System on Chip (ISSOC), pp.116-121, Oct. 2011.
9 K.C. Chen et al., "Design of thermal management unit with vertical throttling scheme for proactive thermal-aware 3D NoC systems," IEEE Int. Symp. VLSI Design, Automation and Test (VLSI-DAT), Apr. 2013.
10 C.-H. Chao et al., "Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems," in Proc of NOCS 2010, pp. 223-230.
11 K.C. Chen, C. Kuo, H.-S. Hung, and A.-Y. (Andy) Wu, "Traffic- and Thermal-aware Adaptive Beltway Routing for three dimensional Network-on-Chip Systems," IEEE international Symposium on Circuits and Systems (ISCAS), May 2013.
12 K.-C. Chen, S.-Y. Lin, H.-S. Hung, A.-Y. Wu, "Topology-Aware Adaptive Routing for Non-Stationary Irregular Mesh in Throttled 3D NoC Systems," IEEE Trans. Parallel and Distributed Systems, vol.24, no.10, pp. 2109-2120, Oct. 2012.
13 A. Kahng, B. Li, L.-S. Peh, and K. Samadi, "ORION 2.0: A Fast and Accurate NoC Power and Area Model for Early-Stage Design Space Exploration," in DATE, 2009.