Browse > Article
http://dx.doi.org/10.5573/ieek.2013.50.11.108

A Deflection Routing using Location Based Priority in Network-on-Chip  

Nam, Moonsik (College of Information & Communication Engineering, Sungkyunkwan University)
Han, Tae Hee (College of Information & Communication Engineering, Sungkyunkwan University)
Publication Information
Journal of the Institute of Electronics and Information Engineers / v.50, no.11, 2013 , pp. 108-116 More about this Journal
Abstract
The input buffer in Network on Chip (NoC) router plays a key role in on-chip-network performance, which is utilized in flow control and virtual channel. However, increase in area and power due to input buffers as the network size gets larger is becoming severe. To solve this problem, a bufferless deflection routing without input buffer was suggested. Since the bufferless deflection routing shows poor performance at high network load, other approaches which combine the deflection routing with small size side buffers were also proposed. Nonetheless these new methods still show deficiencies caused by frequent path collisions. In this paper, we propose a modified deflection routing technique using a location based priority. In comparison with existing deflection routers, experimental results show improvement by 12% in throughput with only 3% increase in area.
Keywords
Network on Chip; Deflection router; Buffer; Productive port;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Thomas Moscibroda and Onur Mutlu, "A Case for Bufferless Routing in On-Chip Networks," in Proc. of the 36th annual international symposium on Computer architecture, Texas, USA, June, 2009.
2 Chris Fallin, Chris Craik and Onur Mutlu, "CHIPPER: A Low-complexity Bufferless Deflection Router," in Proc. of IEEE 17th International Symposium on High Performance Computer Architecture (HPCA), San Antonio, USA, Feb. 2011.
3 Konstantinidou, S. and Snyder, L. "Chaos router: architecture and performance," in Proc. of the 18th Annual International Symposium on Computer Architecture, USA, 1991.
4 Jafri, S.A.R., Yu-Ju Hong, Thottethodi and Vijaykumar, T.N. "Adaptive Flow Control for Robust Performance and Energy," in Proc. of the 43rd Annual IEEE/ACM International Symposium onMicroarchitecture (MICRO), Atlanta, USA, Dec, 2010.
5 Mitchell Hayenga, Natalie Enright Jerger and Mikko Lipasti, "SCARAB: a single cycle adaptive routing and bufferless network," in Proc. of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, NY, USA, Dec, 2009.
6 Chris Fallin, Greg Nazario, Xiangyao Yu, Kevin Chang, Rachata Ausavarungnirun and Onur Mutlu, "MinBD: Minimally-Buffered Deflection Routing for Energy-Efficient Interconnect," in Proc. of the Sixth IEEE/ACM International Symposium on Networks on Chip (NoCS), Copenhagen, Denmark, May, 2012.
7 Crisp'in G'omez Mar'ia E. G'omez Pedro L'opez, "BPS : A Bufferless Switching Technique for NoCs," in Proc. of Workshop on Interconnection Network Architectures, Valencia, Spain, Jan, 2008.
8 Chaochao Feng, Jinwen Li, Zhonghai Lu, Jantsch, A. and Minxuan Zhang, "Evaluation of deflection routing on various NoC topologies," in Proc. of IEEE 9th International Conference on ASIC (ASICON), Xianmen, China, Oct, 2011.
9 George Michelogiannakis, Daniel Sanchez, William J. Dally and Christos Kozyrakis, "Evaluating Bufferless Flow Control for On-Chip Networks," in Proc. of the 4th ACM/IEEE International Symposium on Networks-on-Chip, Grenoble, France, May, 2010.
10 Baran, "On distributed communications networks," IEEE Transactions on Communications Systems, Vol. 12, Issue 1, 1964.
11 Yixuan Zhang, Morris, R., DiTomaso, D. and Kodi, A. "Energy-Efficient and Fault-Tolerant Unified Buffer and Bufferless Crossbar Architecture for NoCs," in Proc. of IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW), Shanghai, China, May, 2012.
12 Chaochao Feng, Zhonghai Lu, Jantsch, A., Minxuan Zhang and Zuocheng Xing, "Addressing Transient and Permanent Faults in NoC With Efficient Fault-Tolerant Deflection Router," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.21, Issue 6, June 2013.