Browse > Article

Design Optimization Techniques of a Phase Interpolator for High-Speed Applications  

Hwang, Hye-Won (College of Information and Communication Engineering, Sungkyunkwan University)
Alon, Elad (Department of Electrical Engineering and Computer Sciences, University of California)
Chun, Jung-Hoon (College of Information and Communication Engineering, Sungkyunkwan University)
Kwon, Kee-Won (College of Information and Communication Engineering, Sungkyunkwan University)
Publication Information
Abstract
This paper presents the design optimization technique for a phase interpolator(PI) and suggests the inductor-loaded PI structure for low power consumption suitable for high-speed applications. An analytical study leads to the design criterion composed of the process constants for the minimum power consumption and the proposed inductor-loaded PI reduces the power by half with determined bandwidth and gain of PI. Designed 7-bit PI using $0.13{\mu}m$ 1.2V CMOS technology consumes $721.2{\mu}W$ in 12GHz with inductor and the suggested optimization technique.
Keywords
phase interpolator; phase mixer; transceiver; high-speed I/O;
Citations & Related Records
Times Cited By KSCI : 2  (Citation Analysis)
연도 인용수 순위
1 V. Stojanovic, A. Ho, B. W. Garlepp, F. Chen, J. Wei, G. Tsang, E. Alon, R. T. Kollipara, C. W. Werner, J. L. Zerbe, and M. A. Horowitz, "Autonomous Dual-Mode (PAM2/4) Serial Link Transceiver With Adaptive Equalization and Data Recovery," IEEE Journal of Solid-State Circuits, Vol. 40, No. 4, pp. 1012-1026, April 2005.   DOI
2 이장우, 유창식, "인덕티브 커플링 송수신 회로를 위한 신호 전달 기법," 전자공학회논문지, 제48권 SD편, 제7호, 17-22쪽, 2011년 7월
3 박형민, 강진구, "위상변조를 이용한 저 전력 입출력 인터페이스 회로" 전자공학회논문지, 제48권 SD편, 제2호, 1-6쪽, 2011년 2월
4 S. Sidiropoulos, and M. A. Horowitz, "A Semidigital Dual Delay-Locked Loop," IEEE Journal of Solid-State Circuits, Vol. 32, No. 11, pp. 1683-1692, Nov 1997.   DOI   ScienceOn
5 Y. M. Greshishchev, P. Schvan, J. L. Showell, M.-L. Xu, J. J. Ojha, and J. E. Rogers, "A Fully Integrated SiGe Receiver IC for 10-Gb/s Data Rate," IEEE Journal of Solid-State Circuits, Vol. 35, No. 12, pp. 1949-1957, Dec 2000.   DOI
6 H. Takauchi, H. Tamura, S. Matsubara, M. Kibune, Y. Doi, T. Chiba, H. Anbutsu, H. Yamaguchi, T. Mori, M. Takatsu, K. Gotoh, T. Sakai, and T. Yamamura, "A CMOS Multichannel 10-Gb/s Transceiver," IEEE Journal of Solid-State Circuits, Vol. 38, No. 12, pp. 2094-2100, Dec 2003.   DOI   ScienceOn
7 P. Larsson, "A 2-1600-MHz CMOS Clock Recovery PLL with Low-Vdd Capability," IEEE Journal of Solid-State Circuits, Vol. 34, No. 12, pp. 1951-1960, Dec 1999.   DOI   ScienceOn
8 R. Kreienkamp, U. Langmann, C. Zimmermann, T. Aoyama, and H. Siedhoff, "A 10-Gb/s CMOS Clock and Data Recovery Circuit With an Analog Phase Interpolator," IEEE Journal of Solid-State Circuits, Vol. 40, No. 3, pp. 736-743, Mar 2005.   DOI
9 T. H. Lee, K. S. Donnelly, J. T. C. Ho, J. Zerbe, M. G. Johnson, and T. Ishikawa, "A 2.5 V CMOS Delay-Locked Loop for an 18 Mbit, 500 Megabyte/s DRAM," IEEE Journal of Solid-State Circuits, Vol. 29, No. 12, pp. 1491-1496, Dec 1994.   DOI   ScienceOn
10 M. Fukaishi, K. Nakamura, H. Heiuchi, Y. Hirota, Y. Nakazawa, H. Ikeno, H. Hayama, and M. Yotsuyanagi, "A 20-Gb/s CMOS Multichannel Transmitter and Receiver Chip Set for Ultra-High-Resolution Digital Displays," IEEE Journal of Solid-State Circuits, Vol. 35, No. 11, pp. 1611-1618, Nov 2000.   DOI