Browse > Article

Low-Power Synchronization Technique for On-Chip Communication  

Lee, Jung-Hyun (Department of Electrical and Computer Engineering, Hanyang University)
Kim, Dong-Chul (Department of Electrical and Computer Engineering, Hanyang University)
Eo, Yung-Seon (Department of Electrical and Computer Engineering, Hanyang University)
Publication Information
Abstract
A novel low-power synchronization technique is presented for the local synchronization. Since the proposed technique transmits an enable signal instead of a clock signal which consumes large power, it can considerably reduce the power consumption. The source-synchronization scheme which is widely adopted for the local synchronization is compared with the proposed technique. It is shown that the proposed low-power synchronization technique provides approximately 50% power saving.
Keywords
source-synchronization; low-power synchronization; power consumption;
Citations & Related Records
연도 인용수 순위
  • Reference
1 K. Lee, S. Lee, and H. Yoo, "Low-power networks-on-chip for high-performance SoC design," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 2, pp. 148-160, Feb. 2006.
2 M. Ghoneima, Y. Ismail, M. Khellah, and V. De,"SSMCB : Low-power variation-tolerant source-synchronous multicycle bus," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 2, pp. 384-394, Feb. 2009.   DOI
3 D. Schinkel, E. Mensink, E. Klumperink, E. van Tuijl, and B. Nauta, "Low-power, high-speed transceivers for network-on- chip communication," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no.1, pp. 12-21, Jan. 2009.   DOI
4 K. Lee, S. Lee, and H. Yoo, "A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform," in Proc. ISSCC, pp. 152-518, San Francisco, CA, Feb. 2004.
5 L. P. Carloni, K. L. McMillan, and A. L. Sangiovanni-Vincentelli, "Theory of latency -insensitive design," IEEE Trans. Comput.- Aided Des. Integr. Syst., vol. 20, no. 9, pp. 1059-1076, Sep. 2001.   DOI   ScienceOn
6 L. Scheffer, "Methodologies and tools for pipelined on-chip interconnect," in Proc. IEEE ICCD, pp. 152-157, Freiburg, Germany, Sep. 2002.
7 R. Lu, G. Zhong, C.-K. Koh, and K.-Y. Chao, "Flip-flop and repeater insertion for early interconnect planning," in Proc. DATE, pp. 690 -695. Paris, France, Mar. 2002.
8 P. Cocchini, "Concurrent flip-flop and repeater insertion for high performance integrated circuits," in Proc. ICCAD, pp. 268-273, San jose, CA, Nov. 2002.
9 M. Ghoneima and Y. Ismail, "Optimum positioning of interleaved repeaters in bidirectio₋ nal buses," IEEE Trans. Comput.-Aided Des. Integr. Syst., vol. 24, no. 3, pp. 461-469, Mar. 2005.   DOI