Browse > Article

Voltage-Frequency-Island Aware Energy Optimization Methodology for Network-on-Chip Design  

Kim, Woo-Joong (School of Information and Communication Engineering, Sungkyunkwan University)
Kwon, Soon-Tae (School of Information and Communication Engineering, Sungkyunkwan University)
Shin, Dong-Kun (School of Information and Communication Engineering, Sungkyunkwan University)
Han, Tae-Hee (School of Information and Communication Engineering, Sungkyunkwan University)
Publication Information
Abstract
Due to high levels of integration and complexity, the Network-on-Chip (NoC) approach has emerged as a new design paradigm to overcome on-chip communication issues and data bandwidth limits in conventional SoC(System-on-Chip) design. In particular, exponentially growing of energy consumption caused by high frequency, synchronization and distributing a single global clock signal throughout the chip have become major design bottlenecks. To deal with these issues, a globally asynchronous, locally synchronous (GALS) design combined with low power techniques is considered. Such a design style fits nicely with the concept of voltage-frequency-islands (VFI) which has been recently introduced for achieving fine-grain system-level power management. In this paper, we propose an efficient design methodology that minimizes energy consumption by VFI partitioning on an NoC architecture as well as assigning supply and threshold voltage levels to each VFI. The proposed algorithm which find VFI and appropriate core (or processing element) supply voltage consists of traffic-aware core graph partitioning, communication contention delay-aware tile mapping, power variation-aware core dynamic voltage scaling (DVS), power efficient VFI merging and voltage update on the VFIs Simulation results show that average 10.3% improvement in energy consumption compared to other existing works.
Keywords
NoC; low power; voltage-frequency-island; dynamic voltage scaling; tile mapping;
Citations & Related Records
연도 인용수 순위
  • Reference
1 W. Dally, B. Towles, 'Route Packets, Not Wires: On-Chip Interconnection Networks,' In Proc. of Design Automation Conference, pp. 684-689, Las Vegas, United States, June 2001
2 M. T. Schmitz, B. M. Al-Hashimi, 'Considering Power Variations of DVS Processing Elements for Energy Minimisation in Distributed Systems,' In Proc. of International Symposium on System Synthesis, pp. 250-255, Montreal, Quebec, Canada, September 2001
3 D. M. Chapiro, 'Globally asynchronous locally synchronous systems,' PhD thesis, Stanford University, 1984
4 L. Benini, G. De Micheli. 'Networks on chips: A new SoC prardigm,' IEEE Computer, Vo. 35, no. 1, pp. 70-78, January 2002   DOI   ScienceOn
5 Y. S. Dhillon, A. U. Diril, A. Chatterjee and H. S. Lee, 'Algorithm for achieving minimum energy consumption in CMOS circuits using multiple supply and threshold voltages at the module level,' in Proc. of ICCAD, pp. 693-700, San Jose, CA, USA, November 2003
6 M. Coppola, et al. 'OCCN: A Network-On-Chip Modeling and Simulation Framework,' in Proc. of Design, Automation and Test in Europe, pp. 174-179, Paris, France, February, 2004   DOI
7 J. Hu and R. Marculescu, 'Communication and task scheduling of application-specific networks-on-chip,' In IEE Proc. Comuters & Digital Techniques, Vol. 152, no. 5, pp. 643-651, September 2005   DOI   ScienceOn
8 W. Jang, D. Ding, and D. Z. Pan, 'A voltage-Frequency lsland Aware Energy Optimization Framework for Networks-on-Chip,' in Proc. of ICCAD, pp. 264-269, San Jose, CA, USA, November 2008   DOI
9 A. Jantsch, H. Tenhunen (Eds.). Networks on Chip. Kluwer, 2003
10 D. Bertozzi, et. al., 'NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip,' IEEE Transactions on Paralledl and Distributed Systems, Vol. 16, no. 2, pp. 113-129, February 2005   DOI   ScienceOn
11 Robert P. Dick, 'Embedded System Synthesis Benchmarks Suite,' http://ziyang.eecs.umich.edu/~dickrp/e3s/
12 U. Y. Ogras, R. Marcuescu, P. Choudhary and D. Marculescu, 'Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip,' in Proc. of Design Automation Conference, pp. 110-115, San Diego, CA, USA, June 2007
13 H. G. Lee, N. Chang, U. Y. Ogras and R. Marculescu, ' On-Chip Communication Architecture Exploration: A Quantitative Evaluation of Point-to-Point, Bus, and Network-on-Chip Approaches,' to appear ACM TODAES, Vol. 12, no. 3, Article 23, August 2007   DOI
14 M. Millberg, E. Nilsson, R. Thid, and A. Jantsch, 'Guaranteed Bandwidth using Looped Containers, in Temporally Disjoint Networks within the Nostrum Network on Chip,' in Proc. of Design, Automation and Test in Europe, pp. 174-179, Paris, France, February, 2004   DOI
15 International Technology Roadmap for Semiconductors Report, 2006
16 D. Wingard, 'micronetwork-based integration for SoCs,' in Proc. of Design Automation Conference, pp. 673-677, Las Vegas, United States, June 2001