Browse > Article

Low Leakage Input Vector Searching Techniques for Logic Circuits at Standby States  

Lee, Sung-Chul (Dept. of Electronics Engineering, Hanyang University)
Shin, Hyun-Chul (School of Electrical and Computer Engineering, Hanyang University)
Publication Information
Abstract
Due to increased integration density and reduced threshold voltages, leakage current reduction becomes important in the semiconductor IC design for low power consumption. In a combinational logic circuit, the leakage current in the standby state depends on the values of the input. In this research, we developed a new input vector control method to minimize the leakage power. A new efficient algorithm is developed to find the minimal leakage vector. It can reduce the leakage current by 15.7% from the average leakage current and by 6.7% from the results of simulated evolution method during standby or idle states for a set of benchmark circuits. The minimal leakage input vector, with idle input signal, can also reduce the leakage current by 6.8% from the average leakage current and by 3.2% from the results of simulated evolution method for sequential circuits.
Keywords
power consumption; leakage current; minimum leakage input vector;
Citations & Related Records
연도 인용수 순위
  • Reference
1 A. Chandrakasan, W. Bowhill, F. Fox, 'Design of High Performance Microprocessor Circuits',IEEE Press. 2000
2 F. Gao, and J.P. Hayes, 'Exact and heuristic approaches to input vector control for leakage power reduction' Computer Aided Design, 2004. ICCAD-2004. IEEE/ACM International Conference, pp. 527–532, 7-11, Nov. 2004
3 J. Halter and F. Najm, 'A Gate-Level Leakage Power Reduction Method for Ultra Low Power CMOS Circuits', IEEE Custom Integrated Circuits Conference, pp 475-478, 1997
4 J. Wenjie, V. Tiwari, E. Iglesia, A. Sinha,'Topological analysis for leakage prediction of digital circuits,' Design Automation Conference, 2002. Proceedings of ASP-DAC 2002. Proceedings 7th Asia and South Pacific and the 15th International Conference on VLSI Design, pp. 39-44, 7-11 Jan. 2002
5 C. Zhanping, M. Johnson, W. Liqiong, and W. Roy, 'Estimation of standby leakage power in CMOS circuit considering accurate modeling of transistor stacks,' IEEE Proceedings International Symposium on Low Power Electronics and Design, pp. 239-244, 10-12 Aug.1998
6 Y. Kim, J. Lee, E. Shin, H. Shin, and J. Yi, 'Minimization of Leakage Current by Using the Genetic Algorithm', SOC 학술대회, pp. 190-194, May. 2005
7 A. Abdollahi, F. Fallah, P. Massoud, 'Runtime mechanisms for leakage current reduction in CMOS VLSI circuits', IEEE Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 12-14, pp. 213-218, Aug.2002
8 B. Chatterjee, M. Sachdev, S. Hsu, R. Krishnamurthy, and S, Borkar, 'Effectiveness and Scaling Trends of Leakage Control Techniques for Sub-130nm CMOS Technology,' ISLPED 03 August 25-27, 2003
9 K. Chopra, B. Sarma, and K. Vrudhula, 'Implicit Pseudo Boolean Enumeration Algorithms for Input Vector Control,' Design Automation Conference 2004, 47-2, 7-11, Jun 2004
10 M. Johnson, D. Somasekhar, and K. Roy, 'Models and Algorithms for bounds in CMOS Circuits', IEEE Transactions on CAD of Integrated Circuits and Systems, Vol. 18, NO. 6, pp. 714-725, June 1999   DOI   ScienceOn
11 L. Wei, Z. Chan, M. Johnson, K. Roy and V. De, 'Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits', Proceedings of the 35th Design Automation Conference(DAC), pp. 489-494, 1998