Browse > Article

Improvement of Delay and Noise Characteristics by Buffer Insertion  

You, Man-Sung (Dept. of Electronics Engineering, Hanyang University)
Shin, Hyun-Chul (Dept. of Electronics Engineering, Hanyang University)
Publication Information
Abstract
For deep submicron (DSM) very large scale integrated circuits (VLSI), it is well known that interconnects have become the dominant factor in determining the overall circuit performance. Buffer insertion is an effective technique of interconnect optimization. When a net has an excessive propagation delay, one or more buffers can be inserted to reduce the delay. Buffers also reduce the crosstalk between neighboring wires. While many conventional methods insert buffers net by net. we have developed new techniques in which buffer locations are simultaneously optimized for all nets. This is to avoid the difficulties in finding the right ordering of nets for buffer insertion. since several nets may compete for a buffer location. We also study buffer insertion with multiple fan-out nets to optimize critical path delay. Elmore delay model is used for delay calculation and the number of buffers for each net is determined to optimize the delay.
Keywords
Buffer Insertion; Delay; Noise;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Wayne Wolf. Modern VLSI Design, Prentice-Hall. 1998, pp. 119-121
2 Semiconductor Industry Association, National Technology Roadmap for Semiconductors. San Jose, CA : SIA, 1997
3 http://www-device.eecs.berkeley.edu/~ptm/interconnect.html
4 http://www-device.eecs.berkeley.edu/~ptm/mosfet.html
5 J. Cong, and Z. pan, 'Buffer Block Planning for Interconnect Planning and Prediction,' IEEE transaction on very large scale integration(VLSI) systems, vol. 9, NO. 6, December 2001, pp. 929-937   DOI   ScienceOn
6 P. Sarkar and C. koh 'Routability-Driven Repeater Block Planning for Interconnect-Centric Floorplanning', IEEE Transaction on Computer-aided Design of Integrated Circuits and Systems, vol. 20, NO.5, May 2001   DOI   ScienceOn
7 Dubey, S. and Jorgenson, J. 'Crosstalk Reduction Using Buffer Insertion', Electromagnetic Compatibility, 2002 IEEE International Symposium on . Volume: 2 , 2002 pp. 639-642   DOI
8 C. Alpert and A. Devgan 'Wire Segmenting for Improved Buffer Insertion', Design Automation Conference, 1997. Proceedings of the 34th pp. 588-593
9 C. C. N. Chu and D. F. Wong, 'Closed form solution to simultaneous buffer insertion/sizing and wire sizing,'in Proc. Int. Symp. Physical Design, Apr. 1997, pp. 192-197
10 L. Huang, M. Lai, D. F. Wong, Y. Gao, 'Maze routing with Buffer Insertion Under Transition Time Constraints', Proceedings of the 2002 Design, Automation and Test in Europe Conference and Exhibition, pp. 702-707
11 H. Zhou, D. F. Wong, I. Liu, and A. Aziz, 'Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations,' IEEE Insertion on computer aided design of integrated circuits and systems, vol. 19, NO. 7, July 2000, pp. 819-824   DOI   ScienceOn
12 J. Lillis, C. K. Cheng and T. T. Y. Lin, 'Optimal wire sizing and buffer insertion for low power and a generalize delay model,' in Proc. Int. Conf. Computer-Aided Design, Noc. 1995 , pp. 138-143
13 T. Okamoto and J. Cong, 'Interconnect layout optimization by simultaneous Steiner tree construction and buffer insertion,'in Proc. ACM/SIGDA Physical Design Workshop, Apr. 1996, pp. 1-6
14 L. P. P. P. van Ginneken, 'Buffer placement in distributed RC-tree net works for minimal Elmore delay,' in Proc. IEEE Int. Symp. Circuits and Systems, May 1990, pp. 865-868   DOI