Browse > Article

Quadratic Programming Based Standard-cell Placement with New Additional Force  

Gang, Sang-Gu (Dept.of Computer, Sogang University)
Im, Jong-Seok (Dept.of Computer, Sogang University)
Publication Information
Abstract
This paper deals with a standard cell placement which is based on a quadratic programming. This paper proposes a new additional force to reduce the cell overlap and to get a uniform distribution of cells. The additional force is not concerned with interconnections between cells, but it is determined by the density of a placement area. In this paper, we modelled that the new additional force is a force which is caused by the dummy fixed cell. And it is used for the global placement. Proposed placement method is compared with TimberWolf v7.0 and Itools vl.4. Proposed placer achieved 7.5% average reduction in wirelength in non timing driven mode, 5.0% average reduction in wlrelength in timing driven mode compared to TimberWolf v7.0. And we got a comparable result to Itools vl.4.
Keywords
Citations & Related Records
연도 인용수 순위
  • Reference
1 S. M. Areibi. 'Towards Optimal Circuit Layout Using Advanced Search Techniques,' PhD thesis, University of Waterloo, Ont. Canada, 1995
2 K. Doll, F. M. Johannes, and K. J. Antreich, 'Iterative placement improvement by network flow methods,' IEEE Trans. CAD, Vol. 13, pp. 1190-1200, Oct, 1994   DOI   ScienceOn
3 H. Etawil, S. Areibi, and A. Vannelli, 'Attractor-Repeller Approach for Global Placement,' Proc. of IEEE/ACM ICCAD, pp. 20-24, 1999   DOI
4 C. M Fiduccia and R. M Mattheyses, 'A Liniear-Time Heuristic for Improving Network Partitions,' Proc. of ACM/IEEE DAC, pp. 175-181, 1982
5 J. Frankie, 'Iterative and Adaptive Slack Allocation for Performance Driven Layout and FPGA Routing,' Proc. of ACM/IEEE DAC, 1992, pp. 536-542   DOI
6 G. H. Golub and C. F. Van Loan, Matrix Computations, 3ed, Baltimore: Johns Hopkins University, 1996
7 A. Dunlop and B. Kernighan, 'A Procedure for Placement of Standard-Cell VLSI Circuits,' IEEE Trans. CAD, Vol. CAD-4, No.1, pp. 92-98, Jan. 1985
8 H. Eisenmann and F. M Johannes, 'Generic Global Placement and Floorplanning,' Proc. of ACM/IEEE DAC, pp. 269-274, 1998
9 W. C. Elmore, 'The Transient Response of Damped Linear Network with Particular Regard to Wideband Amplifiers,' J. of Applied Physics, Vol. 19, pp. 55-63, 1948   DOI
10 D. J. Huang and A. B. Kahng, 'Partitioning-Based Standard-Cell Global Placement with an Exact Objective,' Proc. ACM/IEEE ISPD, pp. 18-25, April 1997   DOI
11 K. Koziminski, 'Benchmarks for Layout Synthesis - Evolution and Current Status,' Proc. of ACM/IEEE DAC, pp 265-270, 1991   DOI
12 B. W. Kernighan and S. Lin. 'An Efficient Heuristic Procedure for Partitioning Graphs,' Bell Syst, Tech. J., 49(2):291-307, 1970   DOI
13 J. M. Kleinhans, G. Sigl, F. M. Johannes, and K. J. Antreich. 'GORDIAN: VLSI Placement by Quadratic Programming and Slicing optimization,' IEEE Trans. CAD, Vol. CAD-10, No.3, pp. 356-365, 1991   DOI   ScienceOn
14 D. Sylvester and K Keutzer, 'Rethinking Deep-Submicron Circuit Design', IEEE Computer, Vol. 32, No. 11, pp. 25-33, November 1999   DOI   ScienceOn
15 T. Ohtsuki, Layout Design And Veryfication, North Holand, 1985
16 P. R. Suaris and G. Kedem, 'An Algorithm for Quadrisection and Its Application to Standard Cell Placement', IEEE trans. on CAS, Vol. 35, No.3, pp. 294 - 303, 1988   DOI   ScienceOn
17 B. M. Riess and G. G. Ettelt. 'SPEED: Fast and Efficient Timing Driven Placement,' Proc. of the IEEE International Symposium on Circuits and Systems, pp. 377-380, 1995   DOI
18 J. Rubinstein, J. P. Penfield and H Horowitz, 'Signal Delay in RC-Tree Networks,' IEEE Trans. on CAD, Vol. CAD-2, No.3, pp. 202-211, 1983
19 G. Sigl, K. Doll, and F. M. Johannes. 'An alytical Placement: A Linear or a Quadratic Objective Function?' Proc. of ACM/IEEE DAC, pp. 427-432, 1991
20 W.-J. Sun and C. Sechen, 'Efficient and effective placement for very large circuits', IEEE Trans. CAD, Vol. 14, No.3, pp. 349-359, 1995   DOI   ScienceOn