Browse > Article

A New Fault-Based Built-In Self-Test Scheme for 1.8GHz RF Front-End  

Ryu Jee-Youl (Department of Electrical Engineering, Arizona State University)
Noh Seok-Ho (Major of Electronic Engineering, College of Electronic & Information Engineering, Andong National University)
Publication Information
Abstract
This paper presents a new low-cost fault-based Built-In Self-Test (BIST) scheme and technique for 1.8GHz RF receiver front end. The technique utilizes input impedance matching measurement. The BIST block and RF receiver front end are designed using 0.25m CMOS technology on a single chip. The technique is simple and inexpensive. The overhead of the BIST circuit is approximately $10\%$ of the total area of the RF front end.
Keywords
RF Front-End; BIST Scheme; input impedance matching;
Citations & Related Records
연도 인용수 순위
  • Reference
1 G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design 2nd Edition: Prentice Hall, New Jersey, 1997, pp. 212-293
2 A.J. Bishop and A Ivanov, 'On the Testability of CMOS Feedback Amplifiers,' IEEE, pp. 65-73, 1994   DOI
3 S. Yu, B.W. Jervis, KR. Eckersall, I.M. Bell, AG. Hall and G.E.Taylor, 'Neural Network Approach to Fault Diagnosis in CMOS Opamp with Gate Oxide Short,' Electronics Letters, Vol. 30, No.9, pp. 695-696, April 1994   DOI   ScienceOn
4 Z. H. Liu, 'Mixed-Signal Testing of Integrated Analog Circuits and Electronic Modules,' PhD thesis, Ohio University, March 1999
5 W.A. Pleskacz, D. Kasprowicz, T. Oleszczak and W. Kuzmicz, 'CMOS Standard Cells Characterization for Defect Based Testing,' IEEE International Symposium on DFT in VLSI Systems, 2001   DOI
6 Jee-Youl Ryu and Bruce C. Kim, 'A New BIST Scheme for SGHz Low Noise Amplifiers,' IEEE 9th European Test Symposium, accepted, May 2004
7 M. Soma, 'Challenges and Approaches in Mixed Signal RF Testing,' IEEE Proceedings, pp. 33-37, 1997   DOI
8 B. R. Veillette and G. W. Roberts, 'A Built-in Self-Test Strategy for Wireless Communication Systems,' Proceedings of the 1995 International Test Conference, pp. 930-939, October 1995   DOI
9 J. Dabrowski, 'BiST Model for IC RF-Transceiver Front-End,' 2003 Proceedings of the 18th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, pp. 295-302, November 2003
10 R. Voorakaranam, S. Cherubal and A. Chatterjee, 'A Signature Test Framework for Rapid Production Testing of RF Circuits,' Proceedings of the 2002 Design, Automation and Test in Europe Conference and Exhibition, pp. 186-191, March 2003   DOI
11 A. Chatterjee, 'Design for Testability and Built-In Self-Test of Mixed-Signal Circuits: A Tutorial,' IEEE 10th International Conference on VLSI Design, pp. 388-392, January 1997   DOI
12 D. Lupea U. Pursche and H. -J. Jentschel, 'Spectral Signature Analysis-BIST for RF Front-Ends,' Advances in Radio Science, pp. 155-160, 2003   DOI
13 J. -Y. Ryu, B. C. Kim, S. -T. Kim, and V. Varadarajan 'Novel Defect Testing of RF Front End Using Input Matching Measurement,' 9th IEEE International Mixed-Signal Testing Workshop, vol. 9, pp. 31-34, June 2003
14 K. C. Craig, S. P. Case, R. E. Neese and C. D. DePriest, 'Current and Future Trusting in Automated RF and Microwave Testing,' IEEE, pp. 183-, 1994
15 D. Lupea,U. Pursche and H. -J. Jentschel, 'RF-BIST: Loopback Spectral Signature Analysis,' IEEE Proceedings of the 2003 DATE Conference and Exhibition, pp. 478-483, March 2003