Browse > Article

Silicon Substrate Coupling Modeling and Analysis including RF Package Inductance  

Jin, U-Jin (Dept. of Electronic computer Engineering, Hanyang University)
Eo, Yeong-Seon (Dept. of Electronic computer Engineering, Hanyang University)
Sim, Jong-Jin (Dept. of Electronic computer Engineering, Hanyang University)
Publication Information
Abstract
Including RF Package inductance, substrate coupling through conductive silicon(Si)-substrate is modeled and quantitatively characterized. 2-port substrate coupling model is extended for the characterization of multi-port substrate coupling between digital circuit block and analog/RF circuit block. Furthermore, scalable parameter extraction model is developed. Multi-port substrate coupling can be investigated by linearly superposing a frequency-dependent 2-port substrate coupling model using scalable parameters. In addition, Substrate coupling including RF package inductance effect is quantitatively investigated. It is shown that package effect increases substrate coupling and shifts a characteristic frequencies(i.e., poles) to the higher frequency range. The proposed methodology can be efficiently used to the mixed-signal circuit performance verification.
Keywords
Citations & Related Records
연도 인용수 순위
  • Reference
1 L. E. Larson, 'Device and technology requirements for next generation communications systems,' IEDM Technical Digest, Electron Devices Meeting, 2000, pp. 737-740   DOI
2 C. Kim, J. Park, H.Yu, and H. Cho, 'Gate layout and bonding pad structure of a RF nMOSFET for low noise performance,' IEEE Electron Device Lett., Vol. 21, No. 12, pp. 607-609, Dec. 2000   DOI   ScienceOn
3 A. Matsuzawa, 'High quality analog CMOS and mixed signal LSI design,' Quality Electronic Design, 2001 International Symposium on, 2001, pp. 97-104   DOI
4 L. E. Larson, 'Integrated circuit technology options for RFIC's-present status and future directions,' IEEE J. Solid-State Circuits, Vol. 33, No. 3, pp. 387-399, Mar. 1998   DOI   ScienceOn
5 The international technology roadmap for semiconductors, SIA Report, 1999
6 M. Felder and J. Ganger, 'Analysis of groundbounce induced substrate noise coupling in a low resistive bulk epitaxial process : design strategies to minimize noise effects on a mixed-signal chip,' IEEE Trans. Circuits Syst. II, Vol. 46, No. 11, pp. 1427-1436, Nov. 2000   DOI   ScienceOn
7 R. C. Frye, 'Integration and electrical isolation in CMOS mixed-signal wireless chips,' Proc. IEEE, Vol. 89, No. 4, pp. 444-455, Apr. 2001   DOI   ScienceOn
8 K. M. Fukuda, T. Kikuchi, T. Matsuura, and M. Hotta, 'Measurement of digital noise in mixed-signal integrated circuits,' IEEE J. Solid-State Circuits, Vol. 30, No. 2, pp. 87-92, Feb. 1995   DOI   ScienceOn
9 M. Ingels and M. S. J. Steyaert, 'Design strategies and decoupling techniques for reducing the effects of electrical interference in mixed-mode IC's,' IEEE J. Solid-State Circuits, Vol. 32, No. 7, pp. 1136-1141, Jul. 1997   DOI   ScienceOn
10 M. Nagata, J. Nagai, T. Morie, and A. Iwata, 'Measurements and analyses of substrate noise waveform in mixed-signal IC environment,' IEEE Trans. Computer-Aided Design, Vol. 19, No. 6, pp. 671-678, Jun, 2000   DOI   ScienceOn
11 J. Huchzermeier, 'Comparison of electrical and thermal parameters of widebus SMD SSOP, TSSOP, TVSOP, and LFBGA packages,' Application report, Texas Instruments, 1999
12 M. V. Heijningen, J. Compiet, P. Wambacq, S. Donnay, M. G. E. Engels, and I. Bolsens, 'Analysis and experimental verification of digital substrate noise generation for epi-type substrates,' IEEE J. Solid-State Circuits, Vol. 35, No. 7, pp. 1002-1008, Jul. 2000   DOI   ScienceOn
13 A. Samavedam, A. Sadate, K. Mayaram, and T. S. Fiez, 'A scalable substrate noise coupling model for design of mixed-signal IC's,' IEEE J. Solid-State Circuits, Vol. 35, No. 6, pp. 895-904, Jun. 2000   DOI   ScienceOn
14 K. B. Unchwaniwala and M. F. Caggiano, 'Effects of integrated circuit packaging on performance of a LNA in a mixed-signal circuit environment,' Mixed-Signal Design, 2001, SSMSD, 2001 South west Symposium on, 2001, pp. 76-79   DOI
15 M. Pfost and H. M. Rein, 'Modeling and measurement for substrate coupling in SiBipolar IC's up to 40GHz,' IEEE J. Solid-State Circuits, Vol. 33, No. 4, pp. 582-591, Apr. 1998   DOI   ScienceOn
16 N. Masoumi, S. S-. Naeini, M. I. Elmasry, and Y. L. Chow, 'A semi-analytical quasi-static approach for substrate coupling modeling in VLSI circuits,' The 12th Intemational conference on Microelectronics, 2000, pp. 157-160   DOI
17 W. Jin, Y. Eo, J. Shim, W. R. Eisenstadt, M. Park, and H. Yu, 'Silicon substrate coupling noise modeling, analysis, and experimental verification for mixed signal integrated circuit design,' International Microwave Symposium Digest, 2001, pp. 1727-1730   DOI