Browse > Article
http://dx.doi.org/10.9708/jksci.2019.24.08.001

Bandwidth-aware Memory Placement on Hybrid Memories targeting High Performance Computing Systems  

Lee, Jongmin (Dept. of Computer Engineering, WonKwang University)
Abstract
Modern computers provide tremendous computing capability and a large memory system. Hybrid memories consist of next generation memory devices and are adopted in high performance systems. However, the increased complexity of the microprocessor makes it difficult to operate the system effectively. In this paper, we propose a simple data migration method called Bandwidth-aware Data Migration (BDM) to efficiently use memory systems for high performance processors with hybrid memory. BDM monitors the status of applications running on the system using hardware performance monitoring tools and migrates the appropriate pages of selected applications to High Bandwidth Memory (HBM). BDM selects applications whose bandwidth usages are high and also evenly distributed among the threads. Experimental results show that BDM improves execution time by an average of 20% over baseline execution.
Keywords
Performance; Data Migration; Bandwidth-aware;
Citations & Related Records
Times Cited By KSCI : 1  (Citation Analysis)
연도 인용수 순위
1 H. T. Mai, K. Park, H. Lee, C. Kim, M. Lee and S. Hur, Dynamic Data Migration in Hybrid Main Memories for In-Memory Big Data Storage, ETRI Journal, vol. 36, no. 6, December, 2014.
2 Colfax, Clustering Modes in Knights Landing Processors, 2016.
3 V. M. Weaver, Linux perf event Features and Overhead, in FastPath Workshop, Austin, TX, USA, 2013.
4 J. Treibig, G. Hager, and G. Wellein, LIKWID: A Lightweight Performance-Oriented Tool Suite for x86 Multicore Environments, in ICPPW. ACM, San Diego, CA, USA, pp. 207-216, 2010.
5 L. E. Ramos, E. Gorbatory, and R. Bianchini, Page placement in hybrid memory systems, in ICS. ACM, Tuscon, Arizona, USA, pp. 85-95, 2011.
6 D. Shin, S. Park, S. Kim, and K. Park, Adaptive page grouping for energy efficiency in hybrid PRAM-DRAM main memory, in ACM Research in Applied Computation Symposium, San Antonio, Texas, USA, pp. 395-402, 2012.
7 D. Bailey, J. Bartion, T. Lasinski and H. Simon, The NAS Parallel Benchmarks, Technical Report RNR-91-002, NASA Ames Research Center, August 1991.
8 R. Espasa, Larrabee - A Many-Core Intel Architecture for Visual Computing, in ACM CF, Ischia, Italy, pp. 225-225, 2009.
9 J. Jeffers, J. Reinders, and A. Sodani, Knights Landing architecture. Morgan Kaufmann, Jan. 2016.
10 A. Sodani, Knights landing (KNL): 2nd Generation Intel Xeon Phi processor, in IEEE Hot Chips 27 Symposium, Cupertino, CA, USA, pp. 1-24, 2015.
11 A. K. Singh, M. Shafique, A. Kumarm, and J. Henkel, Mapping on multi/many-core systems - survey of current and emerging trends, in DAC, Austin, TX, USA, 2013.
12 I. B. Peng, R. Gioiosa, G. Kestor, P. Cicotti, E. Laure, and S. Markidis, Exploring the Performance Benefit of Hybrid Memory System on HPC Environments, in IPDPSW. IEEE, Lake Buena Vista, FL, USA, pp. 683-692, 2017.
13 I. B. Peng, R. Gioiosa, G. Kestor, J. S. Vetter, P. Cicotti, E. Laure, and S. Markidis, Characterizing the performance benefit of hybrid memory system for HPC applications, Parallel Computing, vol. 76, pp. 57-69, May, 2018.   DOI
14 O. Mutlu, Memory scaling: A systems architecture perspective, in IMW. IEEE, Monterey, CA, USA, pp. 21-25, 2013.
15 Y. Ro, M. Sung, Y. Park, and J. H. Ahn, Selective DRAM cache bypassing for improving bandwidth on DRAM/NVM hybrid main memory systems, IEICE Electronics Express, vol. 14, no. 11, pp. 20 170 437-20 170 437, May, 2017.   DOI
16 J. Meena, S. Sze, U. Chand, and T.-Y. Tseng, Overview of emerging nonvolatile memory technologies, Nanoscale Research Letters, vol. 9, no. 1, p. 526, September, 2014.   DOI
17 R. F. Freitas and W. W. Wilcke, Storage-class memory: The next storage system technology, IBM Journal of Research and Development, vol. 52, no. 4.5, pp. 439-447, July, 2008.   DOI
18 M. K. Qureshi, V. Srinivasan, and J. A. Rivers, Scalable high performance main memory system using phase-change memory technology, ACM SIGARCH Computer Architecture News, vol. 37, no. 3, p. 24, June, 2009.   DOI
19 G. Dhiman, R. Z. Ayoub, and T. Rosing, PDRAM - a hybrid PRAM and DRAM main memory system. in DAC, San Francisco, CA, USA, pp. 664, 2009.
20 Y. Tan, B. Wang, Z. Yan, Q. Deng, X. Chen and D. Liu, UIMigrate: Adaptive Data Migration for Hybrid Non-Volatile Memory Systems, IEEE Design, Automation & Test in Europe Conference & Exhibition, Florence, Italy, 2019.
21 M. Lee, D. Kang and Y. Eom, M-CLOCK: Migration-optimized Page Replacement Algorithm for Hybrid Memory Architecture, ACM Transactions on Storage, vol. 14, no. 3, pp. 1-17, November, 2018.
22 T. D. Doudali, S. Blagodurov, A. Vishnu, S. Gurumurthi, A. Gavrilovska, Kleio: A Hybrid Memory Page Scheduler with Machine Intelligence, ACM International Symposium on High-Performance Parallel and Distributed Computing, Phoenix, AZ, USA, pp. 37-48, 2019.