Browse > Article
http://dx.doi.org/10.9708/jksci.2014.19.4.001

Analysis on the Temperature of Multi-core Processors according to Placement of Functional Units and L2 Cache  

Son, Dong-Oh (School of Electronics and Computer Engineering, Chonnam National University)
Kim, Jong-Myon (School of Electrical Engineering, University of Ulsan)
Kim, Cheol-Hong (School of Electronics and Computer Engineering, Chonnam National University)
Abstract
As cores in multi-core processors are integrated in a single chip, power density increased considerably, resulting in high temperature. For this reason, many research groups have focused on the techniques to solve thermal problems. In general, the approaches using mechanical cooling system or DTM(Dynamic Thermal Management) have been used to reduce the temperature in the microprocessors. However, existing approaches cannot solve thermal problems due to high cost and performance degradation. However, floorplan scheme does not require extra cooling cost and performance degradation. In this paper, we propose the diverse floorplan schemes in order to alleviate the thermal problem caused by the hottest unit in multi-core processors. Simulation results show that the peak temperature can be reduced efficiently when the hottest unit is located near to L2 cache. Compared to baseline floorplan, the peak temperature of core-central and core-edge are decreased by $8.04^{\circ}C$, $8.05^{\circ}C$ on average, respectively.
Keywords
Multi-core processor; Temperature; Floorplan; Hotspot;
Citations & Related Records
Times Cited By KSCI : 2  (Citation Analysis)
연도 인용수 순위
1 L. Benini, G. De Micheli, E. Macii, M. Poncino, and R. Scarsi, "Symbolic synthesis of clock-gating logic for power optimization of synchronous controllers," In Transactions on Design Automation of Electronic Systems (TODAES), Vol. 4, Issue. 4, pp. 351-375, Oct. 1999.   DOI   ScienceOn
2 P. Dadvar, and K. Skadron, "Potential thermal security risks," In Proceedings of the IEEE/ASME Semiconductor Thermal Measurement, Modeling, and Management Symposium (SEMI-THERM), pp. 229.234, San Jose, USA, March. 2005.
3 J. H. Choi, "Thermal Management for Multi-core Processor and Prototyping Thermal-aware Task Scheduler," Journal of KIISE : Computer Systems and Theory, Vol.35, No.7.8, pp.354-360, Aug. 2008.   과학기술학회마을
4 Z. Zhijun, L. R. Hoover, and A. L. Phillips, "Advanced thermal architecture for cooling of high power electronics," Components and Packaging Technologies, IEEE Transactions on, Vol. 25, No. 4, pp. 629-634, Dec. 2002.   DOI   ScienceOn
5 C. H. Tsai, and S. M. Kang, "Cell-Level Placement for Improving Substrate Thermal Distribution," IEEE Tram. On Computer.-Aided Des, Vol. 19, No. 2, pp. 253-266, Feb. 2000.   DOI   ScienceOn
6 P. Falkenstern, Y. Xie, Y. W. Chang, and Y. Wang, "Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis," In Proceeding of Design Automation Conference (ASP-DAC), pp.169-174, Taipei, Taiwan, Jan. 2010.
7 A. Gupta, N. D. Dutt, F. J. Kurdahi, K. S. Khouri, and M. S. Abadir "STEFAL: A System Level Temperature- and Floorplan-Aware Leakage Power Estimator for SoCs," In Proceeding of VLSI Design held jointly with 6th International Conference on Embedded Systems, pp.559-564, Bangalore, India, Jan. 2007.
8 P. N. Guo, C. K. Cheng, and T. Yoshimura, "An 0-Tree representation of non-slicing floorplan and its applications," In Proceeding of Design Automation Conference(DAC), pp.268-273, New Orleans, USA, June. 1999.
9 K. W. Lee, T. Nakamura, T. Ono, Y. Yamada, T. S. Nakatake, H. Murata, K. Fujiyoshi, and Y. Kajitani, "Module placement on BSG-structure and IC layout applications," In Proceedings of IEEE/ACM ICCAD, pp.484-491, San Jose, USA, Nov. 1996.
10 H. Murata, and E. S. Kuh, "Sequence Pair Based Placement Method for Hard/Soft/Pre-placed Modules," In Proceeding of International Symposium on Physical Design(ISPD), pp.167-172, Monterey, California, USA, April. 1998.
11 Microarchitectural Floorplanning for Thermal Management: A Technical Report, available at http://www.cs.virginia.edu/-techrep/CS-2005-08.pdf
12 D. O. Son, J. W. Ahn, J. H. Park, J. M. Kim, and C. H. Kim, "Analysis on the Temperature of 3D Multi-core Processors according to Vertical Placement of Core and L2 Cache," Journal of The Korea Society of Computer and Information, Vol. 16, No. 6, pp. 1-10, June. 2011.   과학기술학회마을   DOI   ScienceOn
13 D. Brooks, V. Tiwari, andM. Martonosi, "Wattch: a framework for architectural-level power analysis and optimizations," in Proceedings of the 27th International Symposium on Computer Architecture, pp.83-94, Vancouver, Canada, Jun. 2000.
14 Coskun A.K, Kahng A.B, and Rosing T.S, "Temperature- and Cost-Aware Design of 3D Multiprocessor Architectures," In Proceedings of 12th Euromicro conference on Digital System Design, Architectures, Methods and Tools, pp.183-190, Patras, Greece, Aug. 2009.
15 R. E. Kessler, E. J. McLellan, and D. A. Webb, "The Alpha 21264 Microprocessor Architecture," In Proceedings of the ICCD '98, pp.90-95, Austin, USA, Aug. 2002.
16 D. C. Burger, and T. M. Austin, "The SimpleScalar tool set, version 2.0," ACM SIGARCH CAN, Vol. 25, No. 3, pp. 13-25, Jun. 1997.
17 W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan and S. Ghosh, "HotSpot: A Compact Thermal Modeling Method for CMOS VLSI Systems," IEEE Transactions on VLSI Systems, Vol 14, No 5, pp. 501-513, May. 2006.   DOI   ScienceOn
18 SPEC CPU2000 Benchmarks, available at http://www.specbench.org