Browse > Article
http://dx.doi.org/10.9708/jksci.2013.18.10.013

Efficient On-Chip Idle Cache Utilization Technique in Chip Multi-Processor Architecture  

Kwak, Jong Wook (Dept. of Computer Engineering, Yeungnam University)
Abstract
Recently, although the number of cores on a chip multi-processor increases, multi-programming or multi-threaded programming techniques to utilize the whole cores are still insufficient. Therefore, there inevitably exist some idle cores which are not working. This results in a waste of the caches, so-called idle caches which are dedicated to those idle cores. In this research, we propose amethodology to exploit idle caches effectively as victimcaches of on-chip memory resource. In simulation results, we have achieved 19.4%and 10.2%IPC improvement in 4-core and 16-core respectively, compared to previous technique.
Keywords
Chip Multi-processor; Private cache; Victim cache; Idle Cache; NUCA;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Intel Corporation. "TeraFlops Research Chip", 2007.
2 S. Borkar, "Thousand Core Chips-A Technology Perspective", pp. 746-749, In Proc of DAC. 2007.
3 D. Choffines,M. Astley andM.Ward "Migration policies for multi-core fair-share scheduling", ACMSIGOPS OS Review, Vol. 42, Iss. 1, 2008
4 B. M. Beckmann et al., "ASR: Adaptive Selective Replication for CMP Caches", pp. 443-454, In Proc of MICRO 2006.
5 Z. Chishti et al., "Optimizing replication, communication and capacity allocation in CMPs", 32nd ISCA, pp. 357-368, 2005.
6 Jichuan, Chang et al., "Cooperative Caching for Chip Multiprocessors", pp. 264-276, ISCA. 2006.
7 C. Kim, D. Burger, "An adaptive, non-uniformcache structure for wire-delay dominated on-chip caches", pp. 211-222, ASPLOS-X. 2002.
8 J. Huh, et al., "A NUCA Substrate for Flexible CMP Cache Sharing", Trans. Parallel Distrib. Syst, pp. 1028-1040, vol.18, no.8. 2007.   DOI   ScienceOn
9 H. Dybdahl et al., "An Adaptive Shared/Private NUCA Cache Partitioning Scheme for ChipMultiprocessors", pp. 2-12, In Proc of HPCA 2007.
10 M., Zhang and K., Asanovic, "Victim replication: Maximizing capacity while hiding wire delay in tiled CMPs", pp. 336-345, In Proc of 32nd ISCA, 2005.
11 N., Jouppi, "Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers", pp. 364-373, In Proc of ISCA, 1990.
12 W. Qin and S.Malik, "Flexible and FormalModeling of Microprocessors with Application to Retargetable Simulation", pp. 10556, In Proc of DATE 2003.