Browse > Article
http://dx.doi.org/10.9708/jksci.2012.17.8.011

Power-efficient Scheduling of Periodic Real-time Tasks on Lightly Loaded Multicore Processors  

Lee, Wan-Yeon (Dept. of Computer Science, Dongduk Women's University)
Abstract
In this paper, we propose a power-efficient scheduling scheme for lightly loaded multicore processors which contain more processing cores than running tasks. The proposed scheme activates a portion of available cores and inactivates the other unused cores in order to save power consumption. The tasks are assigned to the activated cores based on a heuristic mechanism for fast task assignment. Each activated core executes its assigned tasks with the optimal clock frequency which minimizes the power consumption of the tasks while meeting their deadlines. Evaluation shows that the proposed scheme saves up to 78% power consumption of the previous method which activates as many processing cores as possible for the execution of the given tasks.
Keywords
power-efficient design; multicore processor; task scheduling; real-time system;
Citations & Related Records
Times Cited By KSCI : 1  (Citation Analysis)
연도 인용수 순위
1 H. Pack, J. Yeo and W. Lee, "Energy-efficient multi-core scheduling for real-time video processing,'' Journal of the Korea Society of Computer and Information, vol. 16, no. 6, pp. 11-20, 2011.   DOI
2 W. Lee, "Energy-saving DVFS scheduling of multiple periodic real-time tasks on multi-core processors,'' IEEE/ACM Symp. Distributed Simulation and Real Time Applications, 2009, pp. 216-223.
3 Semiconductor Industry Association (SIA), International Technology Roadmap for Semiconductors: 2005 Edition, http://www.itrs.net.
4 L. Benini, A. Bogliolo, and G. Micheli, "A survey of design techniques for system-level dynamic power management,'' IEEE Trans. VLSI Syst., vol. 8, no. 3, pp. 299-316, 2000.   DOI   ScienceOn
5 J. Choi, N. Park, and D. Ahn, "A lower power scheduling and allocation for multiple supply voltage,'' Journal of the Korea Society of Computer and Information, vol. 7, no. 2, pp. 79-86, 2002.
6 R. Xu, C. Xi, R. Melhem, and D. Moss, "Practical PACE for embedded systems,'' ACM Int'l Conf. Embedded Software, 2005, pp. 54-63.
7 C. Yang, J. Chen, and T. Kuo, "An approximation algorithm for energy-efficient scheduling on a chip multiprocessor,'' Design, Automation and Test in Europe Conf., 2005, pp. 468-473.
8 H. Aydin and Q. Yang, "Energy-aware partitioning for multiprocessor real-time systems,'' Int'l Parallel Distributed Processing Symp., 2003, p. 113.2.
9 D. Zhu, R. Melhem, and B. Childers, "Scheduling with dynamic voltage/speed adjustment using slack reclamation in multiprocessor real-time systems,'' IEEE Trans. Parallel Distrib. Syst., vol. 14, no. 7, pp. 686-700, 2003.   DOI   ScienceOn
10 A. Andrei, P. Eles, Z. Peng, M. T. Schmitz, and B. Hashimi, "Energy optimization of multiprocessor systems on chip by voltage selection,'' IEEE Trans. VLSI Syst., vol. 15, no. 3, pp. 262-275, 2007.   DOI   ScienceOn
11 E. Seo, J. Jeong, S. Park, and J. Lee, "Energy efficient scheduling of real-time tasks on multicore processors,'' IEEE Trans. Parallel Distrib. Syst., vol. 19, no. 11, pp. 1540-1552, 2008.   DOI   ScienceOn
12 H. Kim, H. Hong, H.-S. Kim, J.-H. Ahn, and S. Kang, "Total energy minimization of real-time tasks in an on-chip multiprocessor using dynamic voltage scaling efficiency metric,'' IEEE Trans. CAD IC Syst., vol. 27, no. 11, pp. 2088-2092, 2008.   DOI   ScienceOn