Browse > Article
http://dx.doi.org/10.9708/jksci.2012.17.6.001

Analysis on the Performance and Temperature of the 3D Quad-core Processor according to Cache Organization  

Son, Dong-Oh (School of Electronics and Computer Engineering, Chonnam National University)
Ahn, Jin-Woo (School of Electronics and Computer Engineering, Chonnam National University)
Choi, Hong-Jun (School of Electronics and Computer Engineering, Chonnam National University)
Kim, Jong-Myon (School of Computer Engineering and Information Technology, University of Ulsan)
Kim, Cheol-Hong (School of Electronics and Computer Engineering, Chonnam National University)
Abstract
As the process technology scales down, multi-core processors cause serious problems such as increased interconnection delay, high power consumption and thermal problems. To solve the problems in 2D multi-core processors, researchers have focused on the 3D multi-core processor architecture. Compared to the 2D multi-core processor, the 3D multi-core processor decreases interconnection delay by reducing wire length significantly, since each core on different layers is connected using vertical through-silicon via(TSV). However, the power density in the 3D multi-core processor is increased dramatically compared to that in the 2D multi-core processor, because multiple cores are stacked vertically. Unfortunately, increased power density causes thermal problems, resulting in high cooling cost, negative impact on the reliability. Therefore, temperature should be considered together with performance in designing 3D multi-core processors. In this work, we analyze the temperature of the cache in quad-core processors varying cache organization. Then, we propose the low-temperature cache organization to overcome the thermal problems. Our evaluation shows that peak temperature of the instruction cache is lower than threshold. The peak temperature of the data cache is higher than threshold when the cache is composed of many ways. According to the results, our proposed cache organization not only efficiently reduces the peak temperature but also reduces the performance degradation for 3D quad-core processors.
Keywords
Quad-core processor; 3D integrated circuits; Temperature; Cache memory; Mapping method;
Citations & Related Records
Times Cited By KSCI : 2  (Citation Analysis)
연도 인용수 순위
1 R. Kalla, S. Balaram, and J. M. Tendler, "IBM Power5 chip: a dual-core multithreaded processor," IEEE MICRO, Vol. 24, Issue 2, pp. 40-47, Mar-Apr. 2004.   DOI   ScienceOn
2 P. Kongetira, K. Aingaran, and K. Olukotun, "Niagara: a 32-Way multithreaded Sparc processor," IEEE MICRO, Vol. 25, Issue 2, pp. 21-29, Mar-Apr. 2005.   DOI   ScienceOn
3 Y. J. Kwon, C. D. Kim, S. R. Maeng, and J. H. Huh, "Virtualizing performance asymmetric multi-core systems," In Proceedings of 38th International Symposium on Computer Architecture, pp. 45-56, San Jose, USA, June. 2011.
4 W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan and S. Ghosh, "HotSpot: A Compact Thermal Modeling Method for CMOS VLSI Systems," IEEE Transactions on VLSI Systems, Vol 14, No 5, pp. 501-513, May. 2006.   DOI   ScienceOn
5 R. E. Kessler, E. J. McLellan, and D. A. Webb, "The Alpha 21264 Microprocessor Architecture," In Proceedings of the ICCD '98, pp. 90-95, Austin, USA, Aug. 2002.
6 A. Fog, "The microarchitecture of Intel, AMD and VIA CPUs : An optimization guide for assembly programmers and compiler makers," Copenhagen University College of Engineering, Feb. 2012.
7 K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranaratanan, and D. Tarjan, "Temperature-Aware Microarchitecture," In Proceedings of the 30th annual international symposium on Computer Architecture, pp. 2-13, San Diego, USA, June. 2003.
8 SPEC CPU2000 Benchmarks, available at http://www.specbench.org
9 M. A. Z. Alves, H. C. Freitas, and P. O. A. Navaux, "Investigation of Shared L2 Cache on Many-Core Processors," In Proceedings of Architecture of Computing Systems, pp. 1-10, Delft, Netherlands, Mar. 2009.
10 J. W. Joyner, P. Zarkesh-Ha, and J. D. Meindl, "A Stochastic Global Net-length Distribution for a Three-Dimensional System on Chip (3D-SoC)," In Proceedings of the 14th IEEE International ASIC/SOC Conference, pp. 147-151, Arlington, USA, Sep. 2001.
11 K. Puttaswamy, G. H. Loh, "Thermal Analysis of a 3D Die Stacked High Performance Microprocessor," In Proceedings of ACM Great Lakes Symposium on VLSI, pp. 19-24, Philadelphia, USA, Apr-May. 2006.
12 N. Muralimanohar, R. Balasubramonian, and N. P. Jouppi. "Optimizing NUCA Organizations and Wiring Alternatives for Large Caches With CACTI 6.0," In Proceedings of 40th International Symposium on Microarchitecture, pp. 3-14, Chicago, USA, Dec. 2007.
13 W. -L. Hung, G. M. Link, X. Yuan, N. Vijaykrishnan, and M. J. Irwin, "Interconnect and Thermal-aware Floorplanning for 3D Microprocessors," In Proceedings of the 7th ISQED, pp. 98-104, San Jose, USA, March. 2006.
14 K. Skadron, M. Stan, K. Sankaranarayana, W. Huang, S. Velusamy, and D. Tarjan, "Temperature-Aware Microarchitecture: Modeling and Implementation," ACM Transactions on Architecture and Code Optimization, Vol. 1, No. 1, pp. 94-125, Mar. 2004.   DOI
15 D. C. Burger, and T. M. Austin, "The SimpleScalar tool set, version 2.0," ACM SIGARCH CAN, Vol. 25, No. 3, pp. 13-25, Jun. 1997.   DOI   ScienceOn
16 D. Brooks, V. Tiwari, and M. Martonosi, "Wattch: a framework for architectural-level power analysis and optimizations," in Proceedings of the 27th International Symposium on Computer Architecture, pp. 83-94, Vancouver, Canada, Jun. 2000.
17 E. Wong, and S. K. Lim, "3D Floorplanning with Thermal Vias," In Proceedings of the conference on Design, Automation and Test in Europe, pp. 878-883, Munich, Germany, Mar. 2006.
18 D. H. Woo, N. H. Seong, D. L. Lewis, and H. H. S. Lee, "An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth," In Proceedings of 16th International Conference on High-Performance Computer Architecture, pp. 1-12, Bangalore, India, Jan. 2010.
19 H. J. Choi, J. W. Ahn, H. B. Jang, J. M. Kim and C. H. Kim, "Thermal Pattern Comparison between 2D Multicore Processors and 3D Multicore Processors," Journal of The Korea Society of Computer and Information, Vol. 16, No. 9, pp. 1-10, Sep. 2011.   과학기술학회마을   DOI
20 A. K Coskun, A. B. Kahng, and T. S. Rosing, "Temperature- and Cost-Aware Design of 3D Multiprocessor Architectures," In Proceedings of 12th Euromicro conference on Digital System Design, Architectures, Methods and Tools, pp. 183-190, Patras, Greece, Aug. 2009.
21 A. K. Coskun, J. L. Ayala, D. Atienza, T. S. Rosing, and Y. Leblebici, "Dynamic Thermal Management in 3D Multicore Architectures," In Proceedings of Design, Automation & Test in Europe Conference & Exhibition, pp. 1410-1415, Nice, France, Apr. 2009.
22 H. Homayoun, M. Rahmatian, V. Kontorinis, S. Golshan, and D. M. Tullsen, "Hot Peripheral Thermal Management to Mitigate Cache Temperature Variation," In Proceedings of International Symposium on Quality Electronic Design, pp. 755-763, Santa Claram, USA, Mar. 2012.
23 M. Paul, and P. Petrov, "I-Cache Configurability for Temperature Reduction through Replicated Cache Partitioning," In Proceedings of Symposium on Application Specific Processors, pp. 81-86, Anaheim, USA, June. 2010.
24 A. W. Topol, D. C. L. Tulipe, L. Shi, D. J. Frank, K. Bernstein, S. E. Steen, A. Kumar, G. U. Singco, A. M. Young, K. W. Guarini, and M. Ieong, "Three-dimensional integrated circuits," IBM Journal of Research and Development, Vol. 50, Issue. 4-5, pp. 491-506, Jul. 2006.   DOI   ScienceOn
25 M. DeVuyst, A. Venkat, and D. M. Tullsen, "Execution migration in a heterogeneous-ISA chip multiprocessor," In Proceedings of 17th International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 261-272, London, UK, Mar. 2012.
26 C. Zhu, Z. Gu, L. Shang, R. P. Dick, R. Joseph, "Three-dimensional chip-multiprocessor run-time thermal management," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 27, No. 8, pp. 1479-1492, Aug, 2008.   DOI   ScienceOn
27 S. W. Yoon, D. W. Yang, J. H. Koo, M. Padmanathan, and F. Carson, "3D TSV processes and its assembly/Packaging technology," In Proceedings of IEEE International Conference on 3D System Integration, pp. 1-5, SanFrancisco, USA, Sep. 2009.
28 B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G. H. Loh, D. McCaule, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen, and C. Webb, "Die Stacking (3D) Microarchitecture," In Proceedings of 39th International Symposium on Microarchitecture, pp. 469-479, Orlando, USA, Dec. 2006.
29 D. O. Son, J. W. Ahn, J. H. Park, J. M. Kim, and C. H. Kim, "Analysis on the Temperature of 3D Multi-core Processors according to Vertical Placement of Core and L2 Cache," Journal of The Korea Society of Computer and Information, Vol. 16, No. 6, pp. 1-10, June. 2011.   과학기술학회마을   DOI