Browse > Article
http://dx.doi.org/10.9708/jksci.2011.16.9.001

Thermal Pattern Comparison between 2D Multicore Processors and 3D Multicore Processors  

Choi, Hong-Jun (School of Electronics and Computer Engineering, Chonnam National University)
Ahn, Jin-Woo (School of Electronics and Computer Engineering, Chonnam National University)
Jang, Hyung-Beom (Division of Computer and Communication Engineering, Korea University)
Kim, Jong-Myon (School of Electrical Engineering, University of Ulsan)
Kim, Cheol-Hong (School of Electronics and Computer Engineering, Chonnam National University)
Abstract
Unfortunately, in current microprocessors, increasing the frequency causes increased power consumption and reduced reliability whereas it improves the performance. To overcome the power and thermal problems in the singlecore processors, multicore processors has been widely used. For 2D multicore processors, interconnection is regarded as one of the major constraints in performance and power efficiency. To reduce the performance degradation and the power consumption in 2D multicore processors, 3D integrated design technique has been studied by many researchers. Compared to 2D multicore processors, 3D multicore processors get the benefits of performance improvement and reduced power consumption by reducing the wire length significantly. However, 3D multicore processors have serious thermal problems due to high power density, resulting in reliability degradation. Detailed thermal analysis for multicore processors can be useful in designing thermal-aware processors. In this paper, we analyze the impact of workload distribution, distance to the heat sink, and number of stacked dies on the processor temperature. We also analyze the effects of the temperature on overall system performance. Especially, this paper presents the guideline for thermal-aware multicore processor design by analyzing the thermal problems in 2D multicore processors and 3D multicore processors.
Keywords
multi-core processor; 3D integrated circuits; temperature; hotspot; reliability;
Citations & Related Records
연도 인용수 순위
  • Reference
1 S. Imand K. Banerjee, "Full chip thermal analysis of planar (2-D) and vertically integrated (3-D) high performance ICs," In proceedings of International IEDM Technical Digest, pp. 727-730, 2000.
2 International Technology Roadmap for Semiconductors, avail able at http://public.itrs.net.
3 Jichuan Chang and Gurindar S. Sohi, "Cooperative Caching for Chip Multiprocessors," In Proceedings of the 33rd international symposium on Computer Architecture, pp. 264-276, 2006.
4 D. M. Tullsen, S. Eggers, H. M. Levy, "Simultaneous multithreading: Maximizing on-chip parallelism," In proceedings of the 22rd international symposium on Computer Architecture, pp. 392-403, 1995.
5 C. Isci, A. Buyuktosunoglu, C.Y. Cher, P. Bose and M, Martonosi, "An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget", In Proceedings of 39th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 347-358, 2006.
6 R. Kumar, V. Zyuban and D.M. Tullsen, "Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling," In Proceedings of The 32nd International Symposium on Computer Architecture, pp. 408-419, 2005.
7 B. Black, D.W. Nelson, C. Webb and N. Samra, "3D Pro cessing Technology and its Impact on IA32 Microprocessors," In Proceedings of IEEE International Conference on Computer Design, pp. 316-318, 2004.
8 V. Agarwal, M.S. Hrishikesh, S.W. Keckler and D. Burger, "Clock rate versus IPC: the end of the road for conventional microarchitectures," In Proceedings of the 27th International Symposium on Computer Architecture, pp. 248-259, 2000.
9 L. Benini, G. De Micheli, E. Macii, M. Poncino and R. Scarsi, "Symbolic synthesis of clock-gating logic for power optimization of synchronous controllers," In Transactions on Design Automation of Electronic Systems (TODAES), Vol. 4, no. 4, pp. 351-375, 1999.   DOI   ScienceOn
10 K. Olukotun, L. Hammond and J. Laudon, "Chip Multipr ocessor Architecture:Techniques to Improve Throughput and Latency," Morgan&Claypool, 2007.
11 A. K. Coskun, T. S. Rosing, J. Ayala, D. Atienza and Y. Leblebici, "Dynamic thermal management in 3D multicore architectures," In proceedings of Design Automation and Test in Europe (DATE), pp. 1410-1415, 2009.
12 D. Brooks and M. Martonosi, "Dynamic Thermal Man agement for High-Performance Microprocessors," In Proceedings of the 7th International Symposium on High-Performance Computer Architecture, pp. 171-182, 2001.
13 W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan and S. Ghosh, "HotSpot: A Compact Thermal Modeling Method for CMOS VLSI Systems," IEEE Transactions on VLSI Systems, 2006.
14 J. L. Henning, "SPEC CPU2000: measuring cpu performance in the new millennium," IEEE Computer, Vol. 33, no. 7, pp. 28-35, 2000.   DOI   ScienceOn
15 S. Chung and K. Skadron, "Using On-Chip Event Counters For High-Resolution, Real-Time Temperature Measur ement," In Proceedings of Thermal and Thermomechanical Phenomena in Electronics Systems, pp. 114-120, 2006.
16 K. Choi, R. Soma and M. Pedram, "Dynamic voltage and frequency scaling based on workload decomposition," In Proceedings of the 2004 international symposium on Low power electronics and design, pp. 174-179, 2004.
17 S. W. Yoon, D. W. Yang, J. H. Koo, M. Padmanathan and F. Carson, "3D TSV processes and its assembly/Packaging technology," In Proceedings of IEEE International Conference on 3D System Integration, pp. 1-5, 2009.
18 R. E. Kessler, "The Alpha 21364 microprocessor," Journal of IEEE MICRO, Vol. 19, Issue 2, pp. 24-36, 1996.
19 D. Brooks, V. Tiwari and M. Martonosi, "Wattch: A Fra mework for Architectural-level Power Analysis and Optimizations," In Proceedings of the 27th Annual International Symposium on Computer Architecture, pp. 83-94, 2000.
20 D. Nelson, C. Webb, D. McCauley, K. Raol, J. R. II, J. DeVale and B. Black, "A 3D Interconnect Methodology Applied to iA32-class Architectures for Performance Improvements through RC Mitigation," In Proceedings of the 21st International VLSI Multilevel Interconnection Conference, pp. 78-83, 2004.
21 S.Das and A.Chandrakasan, "Timing, energy, and thermal performance of three-dimensional integrated circuits," In proceedings of Great Lakes Symposium on VLSI, pp. 338-343, 2004.
22 K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sank aranarayanan and D. Tarjan, "Temperature-Aware Microarchitecture," In proceedings of the 30th International Symposium on Computer Architecture, pp. 2-13, 2003.
23 K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy and D. Tarjan, "Temperature-Aware Microarchitecture: Modeling and Implementation," Transactions on Architecture and Code Optimization, Vol. 1, No. 1, pp. 94-125, 2004.   DOI