Browse > Article
http://dx.doi.org/10.9708/jksci.2011.16.6.011

Energy-Efficient Multi- Core Scheduling for Real-Time Video Processing  

Paek, Hyung-Goo (Dept. of Computer Engineering, Pukyong National University)
Yeo, Jeong-Mo (Dept. of Computer Engineering, Pukyong National University)
Lee, Wan-Yeon (Dept. of Computer Science, Dongduk Women's University)
Abstract
In this paper, we propose an optimal scheduling scheme that minimizes the energy consumption of a real-time video task on the multi-core platform supporting dynamic voltage and frequency scaling. Exploiting parallel execution on multiple cores for less energy consumption, the propose scheme allocates an appropriate number of cores to the task execution, turns off the power of unused cores, and assigns the lowest clock frequency meeting the deadline. Our experiments show that the proposed scheme saves a significant amount of energy, up to 67% and 89% of energy consumed by two previous methods that execute the task on a single core and on all cores respectively.
Keywords
low-power design; multi-core processor; task scheduling; real-time system;
Citations & Related Records
Times Cited By KSCI : 2  (Citation Analysis)
연도 인용수 순위
1 J. H. Anderson and S. K. Baruah, "Energy-efficient synthesis of periodic task systems upon identical multiprocessor platforms,'' Int'l Conf. Distributed Computing Systems, 2004, pp. 428-435.
2 C.-Y. Yang, J.-J. Chen, and T.-W. Kuo, "An approximation algorithm for energy-efficient scheduling on a chip multiprocessor,'' Design, Automation and Test in Europe Conf., 2005, pp. 468-473.
3 R. Xu, C. Xi, R. Melhem, and D. Mosse, "Practical PACE for embedded systems,'' Int'l Conf. Embedded Software, 2004, pp. 54-63.
4 G. No, "An error control algorithm for wireless video transmission based on feedback channel,'' Journal of the Korea Society of Computer and Information, vol. 7, no. 2, pp. 95-100, 2002.
5 J. Choi, N. Park, and D. Ahn, "A lower power scheduling and allocation for multiple supply voltage,'' Journal of the Korea Society of Computer and Information, vol. 7, no. 2, pp. 79-86, 2002.
6 L. Benini, A. Bogliolo, and G. D. Micheli, "A survey of design techniques for system-level dynamic power management,'' IEEE Trans. VLSI Syst., vol. 8, no. 3, pp. 299-316, 2000.   DOI   ScienceOn
7 P. Yang, C. Wong, P. Marchal, F. Catthoor, D. Desmet, D. Verkest, and R. Lauwereins, "Energy-aware runtime scheduling for embedded-multiprocessor SOCs,'' IEEE Design & Test of Computers, vol. 18, no. 5, pp. 46-58, 2001.   DOI   ScienceOn
8 J. Li and J. F. Martinez, "Dynamic power-performance adaptation of parallel computation on chip multiprocessors,'' Int'l Symp. High-Performance Computer Architecture, 2006, pp. 77-87.
9 W. Y. Lee and H. Lee, "Energy-efficient scheduling for multiprocessors,'' Electronics Letters, vol. 42, no. 21, pp. 1200-1201, 2006.   DOI   ScienceOn
10 A. Maxiaguine, S. Kunzli, and L. Thiele, "Workload characterization model for tasks with variable execution demand,'' Design, Automation and Test in Europe Conf., 2004, pp. 1040-1045.
11 L. D. Paulson, "TV comes to the mobile phone,'' Computer, vol. 39, no. 4, pp. 13-16, 2006.   DOI   ScienceOn
12 A. Bilas, J. Fritts, and J. P. Singh, "Real-time parallel MPEG-2 decoding in software,'' Int'l Symp. Parallel Processing, 1997, pp. 197-203.
13 A. Andrei, P. Eles, Z. Peng, M. T. Schmitz, and B. M. A. Hashimi, "Energy optimization of multiprocessor systems on chip by voltage selection,'' IEEE Trans. Very Large Scale Integr. Syst., vol. 15, no. 3, pp. 262-275, 2007.   DOI   ScienceOn
14 W. Yuan and K. Nahrstedt, "Energy-efficient soft real-time CPU scheduling for mobile multimedia systems,'' ACM Symp. Operating Systems Principles, 2003, pp. 149-163.
15 H. Kim, H. Hong, H.-S. Kim, J.-H. Ahn, and S. Kang, "Total energy minimization of real-time tasks in an on-chip multiprocessor using dynamic voltage scaling efficiency metric,'' IEEE Trans. CAD Integr. Circuits Syst., vol. 27, no. 11, pp. 2088-2092, 2008.   DOI   ScienceOn
16 E. Seo, J. Jeong, S. Park, and J. Lee, "Energy efficient scheduling of real-time tasks on multicore processors,'' IEEE Trans. Parallel Distrib. Syst., vol. 19, no. 11, pp. 1540-1552, 2008.   DOI   ScienceOn
17 Semiconductor Industry Association (SIA), International Technology Roadmap for Semiconductors: 2005 Edition, http://www.itrs.net.